753

Defects in Microelectronics Devices

Embed Size (px)

Citation preview

Defects inMaterialsand DevicesMicroelectronic 2008 by Taylor & Francis Group, LLC. 2008 by Taylor & Francis Group, LLC.CRC Press is an imprint of theTaylor & Francis Group, an informa businessBoca Raton London New YorkDefects inMaterialsand DevicesMicroelectronicEdited byDaniel M. Fleetwood Sokrates T. PantelidesRonald D. Schrimpf 2008 by Taylor & Francis Group, LLC.Figure on cover: Z-contrast image of a silicon-silicon dioxide-hafnium dioxide structure showing an isolated Hf atom in the SiO2 interlayer (image courtesy of K. Van Benthem and S. J. Pennycook). The expanded image is an electron density plot for this structure (courtesy of A. G. Marinopoulos and S. T. Pantelides).CRC PressTaylor & Francis Group6000 Broken Sound Parkway NW, Suite 300Boca Raton, FL 33487-2742 2009 by Taylor & Francis Group, LLC CRC Press is an imprint of Taylor & Francis Group, an Informa businessNo claim to original U.S. Government worksPrinted in the United States of America on acid-free paper10 9 8 7 6 5 4 3 2 1International Standard Book Number-13: 978-1-4200-4376-1 (Hardcover)This book contains information obtained from authentic and highly regarded sources. Reasonable efforts have been made to publish reliable data and information, but the author and publisher cannot assume responsibility for the valid-ity of all materials or the consequences of their use. The authors and publishers have attempted to trace the copyright holders of all material reproduced in this publication and apologize to copyright holders if permission to publish in this form has not been obtained. If any copyright material has not been acknowledged please write and let us know so we may rectify in any future reprint.Except as permitted under U.S. Copyright Law, no part of this book may be reprinted, reproduced, transmitted, or uti-lized in any form by any electronic, mechanical, or other means, now known or hereafter invented, including photocopy-ing, microfilming, and recording, or in any information storage or retrieval system, without written permission from the publishers.For permission to photocopy or use material electronically from this work, please access www.copyright.com (http://www.copyright.com/) or contact the Copyright Clearance Center, Inc. (CCC), 222 Rosewood Drive, Danvers, MA 01923, 978-750-8400. CCC is a not-for-profit organization that provides licenses and registration for a variety of users. For orga-nizations that have been granted a photocopy license by the CCC, a separate system of payment has been arranged.Trademark Notice: Product or corporate names may be trademarks or registered trademarks, and are used only for identification and explanation without intent to infringe.Library of Congress Cataloging-in-Publication DataFleetwood, Daniel.Defects in microelectronic materials and devices / Daniel Fleetwood, Sokrates T. Pantelides, and Ronald D. Schrimpf.p. cm.Includes bibliographical references and index.ISBN 978-1-4200-4376-1 (alk. paper)1. Microelectronics--Materials--Testing. 2. Metal oxide semiconductor field-effect transistors--Testing. 3. Integrated circuits--Defects. I. Pantelides, Sokrates T. II. Schrimpf, Ronald Donald. III. Title. TK7871.F5485 2008621.381--dc22 2008018722Visit the Taylor & Francis Web site athttp://www.taylorandfrancis.comand the CRC Press Web site athttp://www.crcpress.com 2008 by Taylor & Francis Group, LLC.ContentsPrefac eEditorsContr ibutors1. D efects in U lt ra-Shallow JunctionsMark E. Law, Renata Camillo-Castillo, Lance Robertson, and Kevin S. Jones2. H ydrogen-Relat ed Defect s in S ilicon, Germanium,and Si licon Germanium AlloysA.R. Peaker, V.P. Markevich, and L. Dobaczewski3. Defects in S traine d-Si MOSFETsYongke Sun and Scott E. Thompson4. The Ef fect o f D efec ts on Ele ctron Transport in Nanome ter-Sca leElectronic D evices: Im purities and Interf ace R oughnessM.V. Fischetti and S. Jin5. Electrical Char acterizat ion of Defects in Gate Di electricsDieter K. Schroder6. Dominating Defects in the MO S System: Pband E0CentersPatrick M. Lenahan7. Oxide Traps, Border Traps, and Interf ace T raps in SiO2Daniel M. Fleetwood, Sokrates T. Pantelides, and Ronald D. Schrimpf8. From 3D Imaging of Atoms to Macroscopic D evi ce Pr opertiesS.J. Pennycook, M.F. Chisholm, K. van Benthem, A.G. Marinopoulos,and Sokrates T. Pantelides9. D efec t Energy Leve ls in Hf O2and R ela ted Hi gh-K Gate OxidesJ. Robertson, K. Xiong, and K. Tse10 . S pe ctro sco pic S tu di es of E lec trically Acti ve Defects i n High- KGate DielectricsGerald Lucovsky11. Def ects in CMOS G ate Dielectr icsEric Garfunkel, Jacob Gavartin, and Gennadi Bersuker 2008 by Taylor & Francis Group, LLC.12. Negati ve B ias T emperatur e Instabilities in Hi gh-k Gate DielectricsM. Houssa, M. Aoulaiche, S. De Gendt, G. Groeseneken, and M.M. Heyns13. Defect F ormation and Anni hilation in E lectronic Devicesand t he Role of HydrogenLeonidas Tsetseris, Daniel M. Fleetwood, Ronald D. Schrimpf, and Sokrates T. Pantelides14 . T owa rd E ngineeri ng M od eling o f Negative BiasTemperatur e InstabilityTibor Grasser, Wolfgang Goes, and Ben Kaczer15 . We ar-Out and Time -Depende nt Die lect ric Breakdownin Sil icon OxidesJohn S. Suehle16 . De fects As sociate d with Diel ectri c Bre akdownin SiO2-Based Gate D ielec tricsJordi Su and Ernest Y. Wu17. Defects i n Thin and Ultrathi n S ilicon DioxidesGiorgio Cellere, Simone Gerardin, and Alessandro Paccagnella18. S tructural Def ects in Si O2 Si Caused by Ion B omba rdmentAntoine D. Touboul, Aminata Carvalho, Mathias Marinoni, Frederic Saigne,Jacques Bonnet, and Jean Gasiot19 . Impac t of R adiation- Induced Defec ts on Bi polar Device Oper ationRonald D. Schrimpf, Daniel M. Fleetwood, Ronald L. Pease, Leonidas Tsetseris,and Sokrates T. Pantelides20. S ilicon Di oxide Sil icon C arbide Interfaces: Current St atusand R e cent A dvancesS. Dhar, Sokrates T. Pantelides, J.R. Williams, and L.C. Feldman21 . De fects i n SiCE. Janzn, A. Gali, A. Henry, I.G. Ivanov, B. Magnusson, and N.T. Son22. Defects i n Gal lium ArsenideJ.C. Bourgoin and H.J. von BardelebenAppendix A: Selected High-Im pac t Journal Articl es on Defe ctsin Micr oel ectronic Materials and Devices 2008 by Taylor & Francis Group, LLC.PrefaceDefects in microelectronic materials can profoundly affect the yield, performance, long-term reliability, and radiation response of microelectronic devices and integrated circuits(ICs). This book provides a comprehensive survey of defects in silicon-based metal oxidesemiconductor (MOS) eld-effect transistor technologies, which dominate the worldwidemicroelectronics marketplace. This book also discusses the defects in linear bipolar tech-nologies, silicon carbide based devices, and gallium arsenide materials and devices. Anappendix is provided with supplemental material on highly cited papers on defects inthese and other materials systems (e.g., GaN, ZnO, C) that are being investigatedfor present and future microelectronics technologies. The chapters described belowsummarize decades of experience in characterizing defect properties and their impact onmicroelectronic devices, and also look forward to the challenges that will have to beovercome as new materials (e.g., high-K gate dielectrics and high-mobility substratematerials) are incorporated into increasingly more highly scaled devices and ICs.Chapters 1 through 4 focus on yield- and performance-limiting defects and impurities inthe device silicon layer, and=or at the critical Si=SiO2 interface. In Chapter 1, Law et al.discuss yield-limiting defects that are important to control in highly scaled technologieswith ultra-shallow junctions. The impact of defects on diffusion and activation processes isemphasized. Defects in Si, Ge, and SiGe alloys associated with hydrogen are discussed byPeaker et al. in Chapter 2. The incorporation of hydrogen and its complexes in thesematerials are reviewed in detail, with examples provided from a broad range of experi-mental and theoretical work. In Chapter 3, Sun and Thompson describe dislocations intransistors that have been designed with highly strained layers to enhance carrier mobi-lities. In Chapter 4, Fischetti and Jin discuss the effects of ionized dopant atoms andinterface roughness on electron transport in very highly scaled devices and ICs. Anextensive review of mobility-limiting scattering processes is presented.Chapters 5 through 8 describe electrical, analytical, spectroscopic, and state-of-the-artmicroscopic methods to characterize defects in MOS gate oxides. In Chapter 5, Schroderprovides a comprehensive review of electrical and analytical techniques to estimate dens-ities and energy distributions of defects in MOS gate dielectrics and=or at the Si=SiO2interface. A wide variety of examples are provided. These enable a comparison of therelative advantages and limitations of different characterization methods. In Chapter 6,Lenahan provides an extensive review of electron spin resonance studies that have pro-vided signicant insight into the microstructure of the dominant SiO2 hole trap. This is theE0 defect, a trivalent Si center in SiO2 associated with an O vacancy. The dominant defect atthe Si=SiO2 interface is also characterized extensively; this is the Pb defect, a Si danglingbond that frequently is passivated by hydrogen during device processing, but whendepassivated after electrical stress or ionizing radiation exposure can function as aninterface trap. In Chapter 7, the properties of oxide and interface traps are reviewed byFleetwood et al., with particular emphasis on separating the effects of true oxide andinterface traps from the effects of near-interfacial oxide traps that exchange charge with theSi on the timescale of typical device measurements (border traps). Thermally stimulatedcurrent and low frequency noise techniques are described to estimate oxide and bordertrap densities and energy distributions. In Chapter 8, Pennycook et al. present the results of 2008 by Taylor & Francis Group, LLC.aberration-corrected scanning transmission electron microscopy on MOS structures; thesetechniques provide information on defects and impurities with sub-angstrom resolution.Chapters 9 through 11 focus on defects in high-dielectric constant (high-K) materials thatare under intense development to replace SiO2 (more precisely, nitrided SiO2) as thepreferred gate dielectric material for Si-based ICs at or beyond the 45 nm technologynode. In Chapter 9, Robertson et al. describe theoretical calculations of defect energy levelsin high-K dielectrics, where it is found that the O vacancy is the main electrically activedefect in HfO2-based high-K gate dielectrics. In Chapter 10, Lucovsky surveys an extensiveamount of spectroscopic data on transition metal oxides. These materials are comparedand contrasted with SiO2, and a critical review of the suitability of these materials isprovided for incorporation into device manufacturing. In Chapter 11, Garfunkel et al.review experimental results and computational calculations of defects in HfO2-basedgate stacks. The effects of these defects on device electrical properties are emphasized.In Chapter 12, Houssa et al. survey negative bias temperature instabilities (NBTIs) forhigh-K materials, and they separate the effects of interface and bulk traps on deviceelectrical response. In Chapter 13, Tsetseris et al. discuss the role of hydrogen in NBTI inSiO2, and summarize rst-principles calculations of defect formation, defect dynamics, anddefect annihilation in the Si=SiO2 system. A comprehensive engineering model of NBTI isdeveloped by Grasser et al. in Chapter 14. The effects of poststress relaxation are shown tobe important to a complete understanding of the underlying mechanisms, as well as indeveloping techniques to predict device lifetimes from accelerated measurements.Chapters 15 through 18 discuss defects in ultrathin oxides (SiO2 and silicon oxynitride).In Chapter 15, Suehle describes the role of defects and hydrogen in SiO2 on the time-dependent dielectric breakdown of MOS devices and ICs. The present understanding ofdefect generation processes is discussed, as are statistical models for the resulting devicefailure distributions. Defects associated with dielectric breakdown and conduction inoxides degraded by long-term, high-eld stress are discussed in detail by Su and Wuin Chapter 16. The percolation model of breakdown is described, and the relative roles ofholes and hydrogen in the breakdown process are evaluated experimentally and analytic-ally. The effects of radiation and stress-induced defects in thin oxides are described byCellere et al. in Chapter 17 and Touboul et al. in Chapter 18. Cellere et al. emphasizeprocesses that lead to radiation and stress-induced leakage currents, which are especiallyimportant for nonvolatile memory cells that can be discharged via extremely low currents,and Touboul et al. discuss the physical damage caused by a high energy ion when it passesthrough a dielectric layer. The latter is of particular concern for the reliability of spacesystems.The effects of radiation-induced defects on linear bipolar devices are discussed bySchrimpf et al. in Chapter 19. Even though similar defects are formed in the base oxidesof linear bipolar transistors as in MOS structures (primarily oxide and interface-trapcharge), the effects of these charges on device operation can be quite different for linearbipolar transistors than for MOS devices. One example of this is enhanced low-dose-ratesensitivity, which is the excess buildup of (primarily) interface traps during low-electric-eld irradiations of typical base oxides that can be affected by trap densities and hydrogenconcentrations of the structures.In Chapter 20, Dhar et al. present an overview of defects in oxides on SiC wafers. Thehigher growth temperatures and the differing interfacial layers for SiC, relative to Si, leadto signicant differences in defect properties. Most notably, dangling bond defects cannotbe passivated as easily at the SiC=SiO2 interface with hydrogen treatment as for the Si=SiO2interface. A comprehensive survey of defects in SiC is provided by Janzn et al. in Chapter21. An extensive array of experimental data and theoretical calculations are tabulated anddiscussed in detail. Defects in GaAs are reviewed by Bourgoin and von Bardeleben in 2008 by Taylor & Francis Group, LLC.Chapter 22. Particular emphasis is placed on As antisite defects associated with the EL2.Finally, the appendix lists a large number of highly cited journal articles related to defectsin the Si=SiO2 system, high-K dielectrics, GaAs, GaN, and ZnO. Brief synopses are pro-vided of more than 450 highly cited articles; the interested reader can use these referencelists as a starting point to obtain more information on the nature and effects of defects andimpurities in these or other semiconductor-based material systems.This book was encouraged by the program managers of two multidisciplinary universityresearch initiatives (MURIs) sponsored by the Air Force Ofce of Scientic Research,Gerald Witt and Kitt Reinhardt, on the effects of ionizing radiation on microelectronicmaterials and devices. We appreciate their support during these programs, as well as theefforts of all of our MURI collaboratorsyou will see many of their contributions in thisbook, as well as contributions from many other experts in the eld who graciously agreedto provide chapters in their elds of specialty. We also wish to thank all our valuedprofessional colleagues, research collaborators, and sponsors who have contributed somuch to these efforts, as well as Jill Jurgensen, Allison Shatkin, and Shelley Kronzek atTaylor & Francis for their interest in and assistance with this book. We nally wish to thankArun Kumar, Jennifer Smith, and the entire production team for their strong and timelysupport.Daniel M. FleetwoodSokrates T. PantelidesRonald D. SchrimpfNashville, Tennessee 2008 by Taylor & Francis Group, LLC. 2008 by Taylor & Francis Group, LLC.EditorsDaniel M. Fleetwood received BS, MS, and PhD degrees from Purdue University in 1980,1981, and 1984, respectively. He joined Sandia National Laboratories as a member of thetechnical staff in 1984. In 1990, he was named a distinguished member of the technical staffin the Radiation Technology and Assurance Department at Sandia. Dan accepted a pos-ition as professor of electrical engineering at Vanderbilt University in 1999. Between 2001and 2003, he served as an associate dean for research in the School of Engineering. In 2003,he was named the chairman of the Electrical Engineering and Computer Science Depart-ment at Vanderbilt University. He has authored or coauthored more than 300 papers onradiation effects and low frequency noise. He served as a guest editor of the December 1990and April 1996 issues of the IEEE Transactions on Nuclear Science, and presently is theexecutive vice-chairman of the IEEE Nuclear and Plasma Sciences Society (NPSS) Radi-ation Effects Steering Group. Dan has received seven outstanding paper awards for theIEEE NSREC and three for the HEART Conference, as well as several meritorious confer-ence paper awards. Dan is a fellow of the IEEE and the American Physical Society.Sokrates T. Pantelides is the William A. and Nancy F. McMinn professor of physics atVanderbilt University, Nashville, Tennessee. He holds a secondary appointment as adistinguished visiting scientist at Oak Ridge National Laboratory, Oak Ridge, Tennessee.He received his PhD in physics from the University of Illinois at Urbana-Champaign in1973. After a postdoctoral appointment at Stanford University, he joined the IBMT. J. Watson Research Center in Yorktown Heights, New York, in 1975 where he carriedout theoretical research in semiconductors and served as manager, senior manager, andprogram director. He joined Vanderbilt University in 1994. He has authored or coauthoredmore than 300 research articles and edited eight books. He is a fellow of the AmericanPhysical Society and the American Association for the Advancement of Science. Hisresearch focuses on the structure, defect dynamics, and electronic properties of electronicmaterials, radiation effects, transport in molecules and thin lms, and catalysis.Ronald D. Schrimpf received BEE, MSEE, and PhD degrees from the University ofMinnesota in 1981, 1984, and 1986, respectively. He joined the University of Arizona in1986, where he served as an assistant professor (1986), an associate professor (1991), and aprofessor (1996) of electrical and computer engineering. He joined Vanderbilt University in1996 and was an invited professor at the University of Montpellier II, Montpellier, France,in 2000. Ron is currently a professor of electrical engineering at Vanderbilt University,where his research activities focus on microelectronics and semiconductor devices. Inparticular, he has a very active research program dealing with the effects of radiation onsemiconductor devices and integrated circuits. Ron is also the director of the Institute forSpace and Defense Electronics (ISDE) at Vanderbilt University. The engineering staff ofISDE performs design, analysis, and modeling work for a variety of space and defense-oriented organizations, as well as commercial semiconductor companies. Ron is a fellow ofthe IEEE and past chairman of the IEEE NPSS Radiation Effects Steering Group. 2008 by Taylor & Francis Group, LLC. 2008 by Taylor & Francis Group, LLC.ContributorsM. AoulaicheIMECLeuven, BelgiumandDepartment of Electrical EngineeringUniversity of LeuvenLeuven, BelgiumH.J. von BardelebenInstitut de NanoSciences de ParisUniversit Paris 6Paris, FranceK. van BenthemMaterials Science and Technology DivisionOak Ridge National LaboratoryOak Ridge, TennesseeandCenter for Nanophase Materials SciencesOak Ridge National LaboratoryOak Ridge, TennesseeGennadi BersukerSematech InternationalAustin, TexasJacques BonnetInstitut dElectronique du SudUMR-CNRS 5214University of Montpellier IIMontpellier, FranceJ.C. BourgoinGenie des Semiconducteurs (GESEC)Research, Inc.Paris, FranceRenata Camillo-CastilloIBMBurlington, VermontAminata CarvalhoInstitut dElectronique du SudUMR-CNRS 5214University of Montpellier IIMontpellier, FranceGiorgio CellereDepartment of InformationEngineeringUniversity of PadovaPadova, ItalyM.F. ChisholmMaterials Science and Technology DivisionOak Ridge National LaboratoryOak Ridge, TennesseeS. DharDepartment of Physics and AstronomyVanderbilt UniversityNashville, TennesseeandCree IncorporatedDurham, North ColumbiaL. DobaczewskiInstitute of PhysicsPolish Academy of SciencesWarsaw, PolandL.C. FeldmanDepartment of Physics and AstronomyVanderbilt UniversityNashville, TennesseeandInstitute for Advanced Materials, Devicesand NanotechnologyRutgers UniversityNew Brunswick, New Jersey 2008 by Taylor & Francis Group, LLC.M.V. FischettiDepartment of Electrical and ComputerEngineeringUniversity of MassachusettsAmherst, MassachusettsDaniel M. FleetwoodDepartment of Electrical Engineeringand Computer ScienceVanderbilt UniversityNashville, TennesseeA. GaliDepartment of Atomic PhysicsBudapest University of Technologyand EconomicsBudapest, HungaryEric GarfunkelDepartments of ChemistryRutgers UniversityPiscataway, New JerseyJacob GavartinAccelrysCambridge, United KingdomS. De GendtIMECLeuven, BelgiumandDepartment of ChemistryUniversity of LeuvenBelgiumJean GasiotInstitut dElectronique du SudUMR-CNRS 5214University of Montpellier IIMontpellier, FranceSimone GerardinDepartment of Information EngineeringUniversity of PadovaPadova, ItalyWolfgang GoesChristian Doppler Laboratory for TCADin MicroelectronicsInstitute for MicroelectronicsWien, AustriaTibor GrasserChristian Doppler Laboratory for TCADin MicroelectronicsInstitute for MicroelectronicsWien, AustriaG. GroesenekenIMECLeuven, BelgiumandDepartment of Electrical EngineeringUniversity of LeuvenLeuven, BelgiumA. HenryDepartment of Physics, Chemistryand BiologyLinkping UniversityLinkping, SwedenM.M. HeynsIMECLeuven, BelgiumandDepartment of Electrical EngineeringUniversity of LeuvenLeuven, BelgiumM. HoussaIMECLeuven, BelgiumandDepartment of Electrical Engineeringand PhysicsUniversity of LeuvenLeuven, BelgiumI.G. IvanovDepartment of Physics, Chemistryand BiologyLinkping UniversityLinkping, Sweden 2008 by Taylor & Francis Group, LLC.E. JanznDepartment of Physics, Chemistryand BiologyLinkping UniversityLinkping, SwedenS. JinDepartment of Electrical and ComputerEngineeringUniversity of MassachusettsAmherst, MassachusettsKevin S. JonesDepartment of Materials Scienceand EngineeringUniversity of FloridaGainesville, FloridaBen KaczerIMECLeuven, BelgiumMark E. LawDepartment of Electricaland Computer EngineeringUniversity of FloridaGainesville, FloridaPatrick M. LenahanDepartment of Engineering Scienceand MechanicsThe Pennsylvania State UniversityUniversity Park, PennsylvaniaGerald LucovskyDepartment of PhysicsNorth Carolina State UniversityRaleigh, North CarolinaB. MagnussonDepartment of Physics, Chemistry andBiologyLinkping UniversityLinkping, SwedenandNorstel ABNorrkping, SwedenMathias MarinoniInstitut dElectronique du SudUMR-CNRS 5214University of Montpellier IIMontpellier, FranceA.G. MarinopoulosDepartment of Physics and AstronomyVanderbilt UniversityNashville, TennesseeV.P. MarkevichSchool of Electrical and ElectronicEngineeringUniversity of ManchesterManchester, United KingdomAlessandro PaccagnellaDepartment of Information EngineeringUniversity of PadovaPadova, ItalySokrates T. PantelidesDepartment of Physics and AstronomyVanderbilt UniversityNashville, TennesseeA.R. PeakerSchool of Electrical and ElectronicEngineeringUniversity of ManchesterManchester, United KingdomRonald L. PeaseRLP ResearchLos Lunas, New MexicoS.J. PennycookMaterials Science and Technology DivisionOak Ridge National LaboratoryOak Ridge, TennesseeandDepartment of Physics and AstronomyVanderbilt UniversityNashville, TennesseeJ. RobertsonDepartment of EngineeringCambridge UniversityCambridge, United Kingdom 2008 by Taylor & Francis Group, LLC.Lance RobertsonDRS TechnologiesDallas, TexasFrederic SaigneInstitut dElectronique du SudUMR-CNRS 5214University of Montpellier IIMontpellier, FranceRonald D. SchrimpfDepartment of Electrical Engineeringand Computer ScienceVanderbilt UniversityNashville, TennesseeDieter K. SchroderDepartment of Electrical EngineeringCenter for Solid State ElectronicsResearchArizona State UniversityTempe, ArizonaN.T. SonDepartment of Physics, Chemistryand BiologyLinkping UniversityLinkping, SwedenJohn S. SuehleSemiconductor Electronics DivisionNational Institute of Standardsand TechnologyGaithersburg, MarylandYongke SunDepartment of Electrical and ComputerEngineeringUniversity of FloridaGainesville, FloridaJordi SuDepartament dEnginyeria ElectrnicaUniversitat Autnoma de BarcelonaBarcelona, SpainScott E. ThompsonDepartment of Electrical and ComputerEngineeringUniversity of FloridaGainesville, FloridaAntoine D. TouboulInstitut dElectronique du SudUMR-CNRS 5214University of Montpellier IIMontpellier, FranceK. TseDepartment of EngineeringCambridge UniversityCambridge, United KingdomLeonidas TsetserisDepartment of Physics and AstronomyVanderbilt UniversityNashville, TennesseeandDepartment of PhysicsAristotle University of ThessalonkiThessalonki, GreeceJ.R. WilliamsDepartment of PhysicsAuburn UniversityAuburn, AlabamaErnest Y. WuIBM Microelectronics DivisionBurlington, VermontK. XiongDepartment of EngineeringCambridge UniversityCambridge, United KingdomandUniversity of Texas at DallasDallas, Texas 2008 by Taylor & Francis Group, LLC.1Defects in Ultra-Shallow JunctionsMark E. Law, Renata Camillo-Castillo, Lance Robertson, and Kevin S. JonesCONTENTS1.1 Introduction............................................................................................................................ 11.2 Shallow Junction Processing Primer ................................................................................... 21.3 Implant Process: Nuclear and Electronic Stopping .......................................................... 21.4 Annealing................................................................................................................................ 31.5 Defect Evolutionary Processes ............................................................................................. 51.5.1 Submicroscopic Defects.............................................................................................. 61.5.2 Dot Defects................................................................................................................... 81.5.3 311 Defects ................................................................................................................... 81.5.4 Loops .......................................................................................................................... 121.6 Defects in Ultra-Shallow Processes ................................................................................... 131.6.1 Surface Effects............................................................................................................ 131.6.2 Millisecond Annealing ............................................................................................. 141.6.3 Regrowth-Related Defects ....................................................................................... 201.7 Conclusions........................................................................................................................... 22References...................................................................................................................................... 231.1 IntroductionThe widely quoted International Technology Roadmap for Semiconductors (ITRS) [1]enumerates critical device dimensions (physical gate lengths, oxide thickness, junctiondepths, etc.) needed to meet performance goals of the future. The ITRS bases its predictionson a scaled planar silicon technology, and is an invaluable aid for dening process toolcapabilities and providing a sense of scale for modeling efforts needed in the near future.Many of the techniques and structures for these devices are not yet known and much ofthe roadmap has been coded research required. The device at the far end of the roadmight be a traditional bulk device [2], a dual gate silicon-on-insulator (SOI) device [3],a FinFET [4], or even a vertical device [5].The shallow junction specication of the roadmap is quite daunting. High conductivity,extremely shallow junctions have to be formed. It is likely that future devices will havemetastable dopant concentrations in the source and drain to attempt to control parasiticelements. Lattice defects have an enormous impact on the nal electrical characteristics ofthe junction. As we will see, damage from implantation controls the junction depth and 2008 by Taylor & Francis Group, LLC.nal activation. Understanding the evolutionary path of the defects becomes critical inunderstanding the electrical properties of doped layers in silicon.1.2 Shallow Junction Processing PrimerImplantation has been used for over 20 years for device fabrication to introduce dopantsinto the substrate silicon, and annealing has been used to repair the damage from theimplant. Throughout the years, implant energy and temperature cycles have been signi-cantly reduced. Typical times of annealing today are several seconds and energies less than1 keV are not uncommon. There are two major challenges to understanding the junctionformation process. The rst is prediction of the active, substitutional concentration of thedopant atoms, which is critical since only the active concentration contributes to theelectrical properties. The other challenge is to understand the diffusion prole accurately,which determines in most cases the junction depth. The junction depth and steepness alsodetermine electrical properties of the device.At a rst glance, the implant and anneal cycle does not seem to be a difcult system tounderstand. Ionic stopping in solids is fairly well understood. Solid-state diffusion is also awell-characterized problem. However, the common dopant atoms in silicon are tightlybound when substitutional and do not diffuse by themselves. They require an interactionwith a lattice point defect, either a vacancy or an interstitial to move. The dopants pair withthe defects to forma mobile species. Consequently, the apparent diffusivity of the dopant isproportional to the local point defect concentration in silicon. However, the crystal damagecreated by the implantation process, initially in the form of vacancies and interstitials,dramatically enhance the diffusivity of the dopant atoms as they evolve during annealing.This process is called transient-enhanced diffusion (TED). During annealing, point defectsalso react with the dopant atoms to form clusters, which deactivate the dopant atoms.Predicting the damage interaction with the dopant atoms becomes very challengingindeed, particularly since there usually are no good ways to measure the point defectconcentrations directly in the silicon. The junction depth and activation of the dopant layerboth depend on the point defects, which are produced in large concentrations from theimplant process itself.1.3 Implant Process: Nuclear and Electronic StoppingUnderstanding the implant process requires an understanding of how ions come to rest inthe silicon substrate. The ions lose energy in the crystal in two ways. The ions canexperience nuclear collisions with the lattice ions. Typically, this displaces the lattice ioncreating a vacancy and an interstitial. The lattice atom recoils with an energy that isfrequently sufcient to create other recoils. The implanted ion can also lose energy to theelectronic cloud present in the crystal. The ions positive charge tends to accelerate theelectrons in the vicinity, which creates a drag force on the ion. Electronic stopping does notcreate crystal damage. Since the collisions have a randomnature, the prole ends up with astatistical distribution.Nuclear stopping is the more interesting, complicated component and has a much largerimpact on the nal electrical properties of the structure. Point defects are generated inabundance fromboth the primary ion and the generated secondaries. At high doses and forimplants with mass greater than silicon, it is not uncommon to amorphize the surface of the 2008 by Taylor & Francis Group, LLC.wafer. Implants are typically characterized as being either below or above the amorphiza-tion threshold.Implantation creates a large total population of point defects and smaller net defectpopulation following initial recombination. The total population includes all of the gener-ated Frenkel pairs from primary and secondary collisions. These Frenkel pairs typicallyanneal out, leaving behind no damage. However, we have also added atoms to the crystalin numbers equal to the dose. These excess atoms can drive defect precipitation. Sincemost dopants are very soluble, on average most of the excess atoms are lattice siliconinterstitials. This simple picture of the results of annealing is frequently referred to as the1 model of diffusion [6].Implants below the amorphous threshold can generate enough point defects to result inobservable extended defect structures. The extended defects are precipitation of the excessinterstitials produced from the implantation. The extended defects tend to form at theprojected range of the implant, since this is the position of the maximum of the excessinterstitial population. Implants above the amorphization threshold amorphize the topsurface. There is an excess interstitial population beyond the amorphous interface fromtheextra implanted primary ions and atoms that are recoiled from the amorphous layer.During annealing at short times and low temperatures, the amorphous layer regrows.This is called solid-phase epitaxial regrowth (SPER). At higher temperatures, extendeddefects formin the region at or near the original amorphous interface. The defects are oftenreferred to as end-of-range (EOR) defects.Current ion implantation trends are focused on delivering the highest beam current(for high throughput) at the lowest energy (for shallowjunctions). For process technologiesbelow 0.25 mm, ion implanters in production are usually operated in decelerationmode. The dopants are extracted at a higher energy and the decelerated to a lowerenergy in the main beam-line. Though there are concerns over possible impurity issuesand energy contamination problems, these are the current production workhorses forshallow junctions.Plasma implantation is another possibility. A plasma with a dopant carrying gas iscreated and an electric eld generated by a DC bias accelerates ions to the surface of thewafer. The systems are similar to design as a reactive ion etcher, but they operate withhigher delivered ion energy to implant the dopants. Beamcurrent and processing through-put in these systems are impressive. However, it is not a mass selected system andcontamination remains a concern.Another ion implantation approach is to use clustered ion beams. In these systems amolecule is ionized and implanted. The kinetic energy of the molecule is imparted to eachatomic species as a ratio of the atomic mass to the total molecular mass. The resultantkinetic energy of each implanted species is much lower than the total kinetic energy of theion cluster. The implanter can consequently operate at a higher acceleration voltage, andthe effective dose rate of dopant ions delivered to the wafer can be much higher. There isstill debate on whether the cluster implant will also cluster dopants together and createproblems during annealing, so these are not yet in production but could be adopted soon.1.4 AnnealingThe damage created by implantation must be annealed to increase the mobility of thecarriers and to fully activate the dopant. Amorphous layers regrow at fairly low temper-atures (4008C6008C), but this does not remove all of the crystalline damage from the EORdamage region. Higher temperatures are required to eliminate the crystalline damage from 2008 by Taylor & Francis Group, LLC.the projected range region in nonamorphizing implants and the EOR damage region ofamorphizing implants.During annealing, the dopants diffuse. This diffusion for most dopants is accomplishedon the microscopic scale by interaction with point defects. As a simple picture, thediffusivity is proportional to the excess point defect population. This leads to the damagefrom the implant, increasing the diffusivity until the damage is fully annealed, known inthe literature as TED. This process is reasonably well understood. The implant-induceddamage condenses into various extended defect structures, which are discussed in moredetail subsequently. As these extended defects evolve, they release interstitials that drivethe diffusion enhancement. These interstitials are thought to recombine at surfaces. Theextended defect dissolution and evolution controls the diffusion enhancement durationand magnitude. This has driven the study of extended defect behavior in silicon. Asmentioned earlier, interaction with defects can also control dopant activation processes.Complete understanding of the dopant, point defect, and extended defect system is stillbeing sought.Because of transient effects brought on by damage, the trend in annealing has been toreduce the time of the anneal. Furnace annealing in silicon is now mostly a thing of thepast. Current annealing technologies are done in rapid thermal annealing (RTA) for timesin the duration of seconds and temperatures between 9008C and 12008C. In currentoperation, anneals are called spike anneals, which have no dwell time at temperaturewhatsoever. The entire anneal is done as a ramp up to peak temperature and an immediatecool down. These short time anneals present difculty in understanding the dopant anddefect system, as many of the pseudoequilibrium approximations break down. Forexample, regrowth processes of the amorphous layer become more important as time attemperature becomes shorter and no longer can be neglected.In recent years millisecond annealing has come to the forefront in the semiconductorindustry in its attempt to continue to drive Moores law by reducing the total thermalbudget imparted to the wafer. Commercially known as ash-assist rapid thermal process(fRTP), this process is designed to operate within the time gap between spike, rapid, andlaser thermal processing techniques. The process offers three main advantages over con-ventional RTA systems that stem from the differences in the heating technology. Tungstenlament lamps are utilized in conventional RTAs compared to the water-walled arc lampsin ash systems. The arc lamps, which are very high-quality optical sources, deliver greaterpower; have a faster response time; and deliver short wavelength radiation that is moreeffective in heating the silicon substrate. A high-pressure argon plasma in an arc lamp,when heated to 12,000 K, produces radiation power of 1 106W, enabling very high ramprates that are four orders of magnitude higher than a conventional RTA, which has aresultant power of 1 103W. The smaller thermal mass of the argon in the arc lamps alsoenables the arc lamps to respond approximately 10 times faster than tungsten lamps [7].Since the transition from heating to cooling is also a function of the response time of theheat source, a wafer heated by ash techniques will transition much faster from heating tocooling. Finally, over 95% of the arc radiation is below the 1.2 mm band gap absorption ofsilicon compared to 40%for radiation generated by the tungsten lamps [7], hence it is moreeffective in heating the wafer.The process uses a continuous arc lamp to heat the bulk of the wafer to an intermediateRTP (iRTP) temperature, where the dwell time is essentially zero. This heating is slowerthan the thermal conduction rate through the wafer, thus the entire wafer remains atapproximately the same temperature [8]. The iRTP serves as the initial temperatureof the ash anneal. Subsequently, a capacitor bank is discharged through an arc lamp,which adds additional power to the device side of the wafer at a rate much faster than thethermal conduction rate. Short time pulses allow for heating of the surface of the wafer 2008 by Taylor & Francis Group, LLC.to the peak ash temperatures (fRTP), while the substrate never attains these high tem-peratures. This is possible since the time constant of the ash, which is on the order 1 ms, ismuch shorter than the thermal time constant of the wafer ($1020 ms). Therefore, a thinslice of the device side of the wafer is heated and cooled rapidly at rates on the order of1 1068C s1. The fast cooling is achieved since the bulk of the wafer acts as a heat sink,removing heat from the top layer via conduction much more efciently and faster thancan be accomplished in bulk cooling. The high absorbance of the reactor chamber alsocomplements the cooling rates. However, as the ash time pulse approaches the timeconstant of silicon, the ash elevates the bulk temperature considerably, therefore onlyallowing for cooling by radiative and convective methods, resulting in much slower cool-ing rates. Heating rates up to the intermediate temperature are similar to conventionalspike annealing (508C s13008C s1), as are cooling rates during the bulk radiative cooling(up to $1508C s1).1.5 Defect Evolutionary ProcessesIn high concentrations found after implantation, free interstitials cluster to reduce freeenergy. The interstitial cluster congurations believed to occur in ion-implanted siliconinclude the di-interstitial, interstitial chain, {311} rod defect, and dislocation loops. Trans-mission electron micrograph (TEM) images of {311} defects and dislocation loops areshown in Figu res 1.1 and 1.2, respec tively. The free inter stitial has strai n energy asso ciatedwith it because it is larger than any interstitial site. It also has a free energy of 1 eV fromeach unbonded orbital. Adi-interstitial represents a more stable conguration compared tothe free interstitial since it reduces the number of unbonded orbitals. Theoretically, byFIGURE 1.1{311} defects imaged in plan-view, weak-beamdark-eld TEM. The left picture is after a preanneal of 2 h at 7508C.The right picture is of an in situ anneal of the sample at left after 15 additional minutes at 7708C. (FromLaw, M.E.and Jones, K.S., International Electron Device Meeting, 2000, p. 511. With permission.) 2008 by Taylor & Francis Group, LLC.forming an interstitial chain in which interstitials are bonded both to the lattice as well as toeach other in a linear fashion, the number of dangling bonds can be further reduced. This issupported by results of Cowern [9] obtained by modeling interstitial supersaturationmeasurements, which suggest that interstitial clusters have stable congurations(e.g., n 8) below the size of a {311} defect. The interstitial chain conguration has beenused in many models for the formation of extended defects in silicon [1012]. Formation ofsuch an interstitial chain elongated in the direction is the foundation for modeling{311} defects by adding several chains in the direction, forming an extrinsicstacking fault on the {311} habit plane. Tan [10] has shown that such an extended defectfurther reduces the free energy of the excess interstitials since the {311} defect has nodangling bonds along the sides of the defect (strained reconstructed bonds exist at theends of the rod). Of the aforementioned defects, only {311} defects and dislocation loopshave been directly observed by electron microscopy. The {311} defect is a metastable defect,having a stability intermediate between self-interstitial clusters and dislocation loops. Both{311} defects and dislocation loops represent an increase in the free energy of the siliconlattice compared to its equilibrium state. {311} defects have a lower energy of formationthan loops, but loops lead to a conguration with a lower chemical potential per intersti-tial, and are therefore a more stable conguration than {311} defects. Dislocation loops arecategorized according to structure as either faulted or unfaulted. Faulted loops are knownas Frank loops, whereas perfect (unfaulted) loops are known as Shockley loops. TheBurgers vector for Frank loops is a=3 and for Shockley loops it is a=2 ,where a denotes the lattice constant. Each of these types will be discussed in turn.1.5.1 Submicroscopic DefectsWeak-beam dark-eld imaging conditions generally yield the highest resolution images ofextended defects when imaging using amplitude or diffraction contrast. Under theseFIGURE 1.2Dislocation loops imaged in plan-view, weak-beam dark-eld TEM. 2008 by Taylor & Francis Group, LLC.cond itions the sm allest cluste rs (d islocation loo ps or precipitat es) that can be observedusually com prise over 100 atoms . If excess inter stitials are introduce d into the crys tal, thenas the y precipitat e out they can form submi crosc opic inter stitial clus ters (SMICs ). Some -times the se clus ters gr ow into bare ly observabl e dot defects. Figu re 1.3 show s an exampleof a TEM of dot defe cts. Dot defe cts and SMICs eve ntually ma y evolve int o {311} defe ctsand dislocat ion loops, or may dis solve before they get large r. If dopant s are abov e solidsolubili ty in the crys tal then submi croscopi c dopant clusters may also fo rm. These sub-micro scopic defe cts are imp ortant becaus e they can dr amatical ly affect dopant diffusionand activati on upon formatio n and dis solution.The m ost well-u nderstood exa mples of submi crosc opic dopant clu sters are associ atedwith boron. It is wi dely accepte d that bor on cluste rs into imm obile boron inter stitialcomple xes [13,14] . Most of these clu sters are bel ieved to be made of few er than 10 atomsand thus are subm icroscop ic. At short tim es, boron forms interst itial-rich cluste rs that alterthe damag e formatio n. At longe r tim es, these cluste rs become boron rich and are morestable. The breakup of the cluste rs tend s to release inter stitials and can be modi ed bythe interstitial conte nt they dis solve slower in an oxidizin g env ironmen t, which inje ctsinter stitials [15]. Figu re 1.4 shows the boron clus tered in a damag e region and heldimm obile [16].Arseni c is less we ll unde rstood than boron. At low dose s, arsen ic absorbs interst itials [17].At intermedi ate dose level s, the arsenic forms cluste rs cen tered aroun d vacanci es [18,19] .In this dose range , the proces s inje cts int erstitial s that can form extended defects [20]. Athigher doses, arsenic forms larger precipitates, and the defect character seems to change[21,22]. The kinetic rates for both processes need to be fully understood to be able tomaximize the dopant activation. The characterization of these behaviors is a critical neartermchallenge for junction proling.FIGURE 1.3TEMof dot defects. These defects are too small to classify as a specic type and typically consist of approximately100 interstitials. 2008 by Taylor & Francis Group, LLC.Many com mon implants are also acti ve in trap ping defe cts. Carbon is thou ght to clus terwith inter stitials [23]. Nitrogen [24] and uori ne [25] beha ve simi larly, in that they trap avacancy during regrowth process es, and then act as an inter stitial trap during annealin g. Itis likely that we do not yet understan d all of the impurity int eraction s with defe cts in smallclus ters. It wou ld appe ar mo st impuritie s a re likely to be point defect acti ve and formclus ters of some type below observabl e TEM detectio n.1.5.2 Dot DefectsAs SMI Cs coars en abov e 100 atoms, they become visi ble. Below a few 100 ato ms, it is oftennot possible to determine the exact natu re of these defe cts, e.g., are the {311} s loo ps orvolu me precipitat es? These are terme d dot defects. These dot defec ts can be extre melynum erous and are more commo n as the implant energy and annea ling cycles decrease .They are often observed after ash or nonme lt lase r anne aling of ultra low- energyimpl ants. They a lso are observe d to form in the EOR region after solid-p hase epitaxialrec rystalliza tion (SPE R) of an amorpho us laye r. SP ER (typ ically less than 700 8C) is beingexpl ored as an alternati ve to high -temp erature anneal ing for junct ion formation. Figu re 1.3shows an image of wha t are commonl y observe d as dot-lik e defects.1.5.3 311 Defec tsThe {311} defe ct is a long thi n plate of excess inter stitials lyi ng on a {311} plane [11,26,2 7].An example of the defe ct st ructure is sh own in Figure 1.1. They have been reporte dto typically remain 4080 wide, independent of the length of the {311} defect [28]. {311}defects are observed in ion-implanted silicon above a certain threshold dose and energy.10191018101710160 2000 4000Furnace anneal at 800CNone35 min65 min118 min180 minBoron implant2 1014 cm2 at 60 keVDepth ()Concentration (cm3)6000FIGURE 1.4Boron prole as a function of depth and anneal time. The peak region of the boron that is immobile during theanneal has formed boron-interstitial clusters. (After Michel, A.E., Rausch, W., and Ronsheim, P.A., Appl. Phys.Lett., 51, 487, 1987. With permission.) 2008 by Taylor & Francis Group, LLC.For silicon implanted at 40 keV and doses above 7 1012cm2, {311} defects are observedafter annealing at temperatures between 7008C and 8508C for several minutes [28,29].{311} defects are observed both in the projected range of nonamorphizing implantsas well as in the EOR in amorphizing implants. Technological interest in the {311} defectarose after studies of implants into doping superlattices showed that the dissolu-tion kinetics of these defects matched the time scale of the effect known as TED [30],which describes the enhanced diffusion of implanted dopants during annealing of ion-implanted layers. The possible evolutionary pathways observed for {311} defects inion-implanted silicon are dissolution, conservative unfaulting, and congruent dissolutionand unfaulting.Pan has shown that {311} defects are metastable defects compared to dislocation loops[31]. Though {311} defects have negligible strain energy due to bonds along the elongatedsides of the defect and relatively small stacking fault energy due to the small dislocationBurgers vector, a=21 [116] [32,33], {311} defects become unstable at temperatures above$7008C. Dissolution curves for {311} defects in the EOR damage region created by120 keV 1 1015cm2Siimplants at 7508C and 8008C are shown in Figure 1.5. Modelingthe dissolution of interstitials from {311} defects with a decaying exponential function,the characteristic decay time constants are 50 and 10 min for 7508C and 8008C, respect-ively. With annealing temperatures above 9008C, either the {311} defect nucleation anddissolution process time scales are much shorter than typical annealing times, or the {311}defect does not exist. At higher temperatures, when the supersaturation of interstitialconcentration above intrinsic levels falls below a critical level, circular dislocation loopsof sufcient size become a thermodynamically favorable defect conguration with respectto {311} defects. Therefore, once the activation barrier for the formation of a loop isovercome, loop growth is preferred over {311} growth. This explains why, at sufcientdoses (>1 1014cm2) [34] and longer annealing times and higher annealing tempera-tures, {311} defects are not observed; only dislocation loops. Extension of the samereasoning explains how it is possible that interstitials from {311} defects, when providedwith the necessary energy to leave the defect, could then be accommodated by a disloca-tion loop and lower the energy of the system. In addition, Li and Jones [35] haveshown that a {311} defect can form an elliptical dislocation loop by what appears to bedislocation unfaulting.0 50 100310132101311013Interstitials in {311} defects (atoms/cm2)0Time (min)150t750=50 mint800=10 min800C750C200 250FIGURE 1.5Density of silicon interstitials in {311}defects as a function of annealing time at7508C and 8008C for a 120 keV 1 1015cm2Siimplant. 2008 by Taylor & Francis Group, LLC.Kim [12], Takeda [11], and Tan [10] have modeled the fo rmation of the {311}defect. These model s and emp irical evidence [32,33] result in a con sensus of a=21< 116 > for the Burger s vector of the {311} defect. Few have observe d or model edthe transfor mation of a {311} defect int o a dis location loo p. Eagle sham [28] has observe dthat {311} defe cts can unfault to form Frank loops. The defect reaction proposed byEagle shama=21 < 116 > a=21 < 661 > a=3 gives a plau sible unfau lting rea ction. Eagle sham then postu lated that Frank loops mayunfau lt to form Shockl ey dislocat ion loops throu gh the commo n unf aulting reaction ,a=3 < 111 > a=6 < 112 > a=2 < 110 > ,which has been observe d in many cubic lattices .Li and Jo nes [35] have observe d similar transf ormati ons of the {311} defe ct intodislocat ion loops via in situ TEM measure ments. This transf ormati on may occ ur whilecons erving the number of interstitial s bound in the defect. If such con servation is main-tained, then the defe ct transf ormatio n itself should not affect the supersat ura tion of theinter stitial concen tration in the surrou nding crys tal.Disso lution of inter stitials from {311} defe cts is anothe r possibl e evol utionar y pat h forthe inter stitial s, as the defect become s thermod ynami cally unstab le. Eagl esham [30]propo sed that the dissolu tion of inter stitials from {311} defects is the source of int erstitial sthat induce TED , since a correlat ion exists betw een both time constant and energy barri erfor bot h TED and {311} dis solution. Suc h dis solution is expe cted since recom bination,surfa ce or bulk, represen ts a lower free energy of the system. At high er tem perature s,{311} defects are relative ly unstable and dissolve upon anneal ing. This occurs after only3 min at 815 8 C [30].In situ anneal ing in the TEM allows indivi dual defect beha vior to be observe d andmo nitored so that the dissolu tion proce ss can be obse rved [36]. A 100 keV Si 1014cm 2impl ant was use d to damage a silicon wafer. Th ese sam ples were then prean neale d at750 8 C for 2 h in a con vention al furnace . The sam ples were the n anne aled in situ in theTEM at a vari ety of temperat ures . Figu re 1.1 shows the evol ution at 0 and 15 min. In thi stim e period, no te that the defect in the lowe r left has comple tely dis solved. Th e longerdefects a re not more stab le energetical ly than smaller defects. This work clearly sh owsthat longer defe cts can dissol ve muc h faste r than shor ter defects . Figure 1.6 shows thedissol ution of nine differe nt defe cts from the {311} ense mble. These dissolu tion curvesare t better by line ar decay rates than exponenti al decay rates. Linear decay ts areshown in Figu re 1.6, and the decay rates as a function of initi al defect size are extracte d.Captu re and release of int erstitial s on the {311} defects occ urs on ly at the end of thedefects , and therefo re is proportio nal to the num ber of defe cts, D311. This provi destwo dis tinct resu lts. First, indivi dual defe cts dis solve at a nea rly constant rate , since thedissol ution is proporti onal on ly to the end size. The length of the defect does notdetermi ne the dissolu tion rate.{311} defects may also unfault to form dislocation loops, while simultaneously releasinginter stitials int o the surroundi ng crystal. Figu re 1.7 show s as an exa mple a {311} defect ina 100 keV, 2 1014cm2Siion-implanted silicon wafer which had been preannealed in afurnace at 8008C for 5 min. After the furnace preanneal, this defect contains 6400 inter-stitials. The sample is then annealed in situ in a TEM. Over the 40 min in situ anneal, the{311} defect unfaults to form a dislocation loop. Over this same interval the number ofinterstitials bound by the defect decreases from 6400 to 1500. 2008 by Taylor & Francis Group, LLC.0501001502002503003500 20 40 60 80 100 120Size (nm)Time (min)FIGURE 1.6Size of individual defects as a function of in situ anneal time. Best ts are linear decays. The slowest decayingdefect is about average size at time zero. (From Law, M.E. and Jones, K.S., International Electron Device Meeting,2000, p. 511. With permission.)FIGURE 1.7In situ annealing showing a {311} defect unfaulting into a dislocation loop. 2008 by Taylor & Francis Group, LLC.1.5.4 LoopsThe formation threshold for dislocation loops [34] (around 1 1014cm2for implantsbelow 100 keV) is considerably higher than the reported threshold dose for {311} defects ofonly 7 1012cm2for 40 keV Siimplants [28]. Thus, it appears that the nucleationbarrier for theformation of a dislocation loop is higher than that of a {311} defect. Forhigher-energy implants (380 keV1 MeV), the threshold dose for loops can drop as lowas 4 1013cm2[37]. The decrease in the threshold dose with increasing energy is thought tobe due either to the increase in damage deposition [37] in the crystal or to the increasedseparation of the Frenkel pairs [3840] that reduces the IV recombination efciency. Asmentioned previously, it has been proposed that loops may simply evolve from unfaultingof {311} defects [28]. Li and Jones [35] showed that, for nonamorphizing implants, all of thedislocation loops that were observed to formcame from{311} defects. It has not been proventhat the same process occurs at higher temperatures, 9008C12008C. Once the nucleation stagefor dislocation loops has been completed, loops either remain stable, coarsen, or dissolve.Dislocation loops are much more stable than {311} defects, requiring temperatures of10008C11008Cto dissolve [34,41,42]. Figure 1.8 shows that, at lower annealing temperatures,dislocation loops continue in the growth stage kinetically for hours. This time scale is wellbeyond the {311} defect growth time scale, and occurs as {311} defects are dissolving. Oftenoxidation-induced interstitial injection prevents complete dissolution of dislocation loops,instead resulting in the growth of the loops into large stacking faults. Dislocation loopdissolution can provide interstitials for some diffusion enhancement [43], but because thetemperature is so high, the relative enhancement CI=CI* (CI* is the intrinsic concentration ofinterstitials) is not as large as the effect from{311} dissolution at lower temperatures.The presence of dislocation loops in the silicon crystal represents an increase in thefree energy of the lattice when compared to the equilibrium lattice. During annealing,the minimum size for a stable dislocation loop depends both on the temperature210141101400 50 100 150Time (min)Interstitials in dislocation loops (atoms/cm2)200 250800C750CFIGURE 1.8Density of silicon interstitials in dislocation loops as a function of annealing time at 7508C and 8008C for 120 keV,1 1015cm2Siimplant. 2008 by Taylor & Francis Group, LLC.and the time-de pendent supersat uration of interst itials. Due to the nite tim e requi redfor the nucleatio n st age, there is a dis tribution of dislocat ion loo p sizes at a give n tim e. Asthermal annealin g conti nues, the dislocat ion loops move from a nucleati on stage to a growthstage. Du ring the gr owth stage all of the dis location loops app ear to inc rease in size , so thetotal numb er of inter stitial s bound by dislocat ion loo ps increa ses. Aft er the gr owth stage,the loops then enter a coarsen ing stag e where the num ber of dislocat ion loo ps decre asesand the aver age loop size increa ses, whil e the con centratio n of bound inter stitials inthe dislocat ion loops rem ains relative ly unc hanged [44]. The coa rsening pha se has beenmodel ed both by Liu [45] and Laa nab [46]. In the coars ening phase the sup ersatura tion ofinter stitials aroun d the loo ps drops signi cantly [47]. Some autho rs [47 49] have termed thiscoars ening stage of dislocat ion loops Ostwa ld ripeni ng, since its beha vior mim ics that ofsecond phase precipitat es in many met als systems , where larger preci pitates grow at theexpens e of sm aller preci pitates to redu ce the surfa ce free energy of the precipitat es.Typi cally loops enter a coarsen ing pha se wi thin 30 60 min at 800 8 C [50]. For roomtemperat ure impl ants, if the imp lant conditio ns are such that the defects fo rm at dep thsgrea ter than 10 00 and the impla nt spe cies is below its solid solubi lity, then it has beenshown that high a nnealing tempe ratures ( >1000 8 C for 24 h) are require d to dis solve EORdefects comple tely [34,41] . These tem perature s are , in general , well beyond the thermalbudge ts of mo dern integr ated circ uit (IC) proces sing. Howe ver, as the imp lant energi esdecreas e, the implant temperat ure control inc reases and the dose rate increa ses the EORdefects become less st able [51 53]. This is attribu ted to a decreas e in the net excessinter stitials coming to rest in the EOR regi on, as opp osed to a tru e surfa ce prox imity effect[54]. A review of the effect of impl ant and anne al cond itions on the stabilit y of the se defe ctshas been presen ted elsew here [40,55] .Theore tically, no dislocat ion loo p is thermo dynami cally stable in a single- crystal silicon,since it represen ts an increa se in the free energy of the crystal. Ki netic limit ations limit thi stheo retical the rmody namic outlook on the stabilit y of dislocat ion loo ps in ion-imp lantedsilicon. As a result of the se limitati ons, many dislocat ion loops in ion-imp lanted silicon are ,for all pra ctical purp oses, st able during postimplan tatio n anneal ing. Dislocatio n loops thatremain in submicro n silicon transi stors after proce ssing can be detri mental to the device.Disloca tion loo ps in silicon tend to get met al impuritie s, which dis rupt the electro ntranspo rt in the vicini ty of the loop. Buck [56] and Land i [57] have shown that, if disloca-tion loops exis t in the space charge regio n of a junct ion, they can cause high leakagecurrents. For these reasons, the electrical junction of a silicon transistor must form deepenough so that dislocation loops are never in the space charge region of the device.1.6 Defects in Ultra-Shallow Processes1.6.1 Surface EffectsIn an effort to investigate the role of the surface on interstitial recombination, a series ofexperiments was conducted using preamorphized Si. Czochralski (CZ) grown (100) Si waferswere implanted with 1 1015cm2germanium ions at 5, 10, and 30 keV. This producedamorphous layers of 120, 220, and 480 below the surface, respectively. After annealingat 7508C for varying times, TEM images were taken and the defects quantied. Figure 1.9shows that quantication of the defects showed that, as the energy decreased to 5 keV,the dissolutionkinetics rapidly accelerated. The question arises: Is this a result of the proximityto the surface, or the decrease in interstitial population in the end of range region?To study this further, lapping experiments were performed. A sample was implanted 2008 by Taylor & Francis Group, LLC.with a 10 keV Ge 1 1015cm 3implant. Next, pieces of the wafer were chemically, mechan-ically polished to reduce the thickness for the amorphous layer from 180 down to 20 .The aforementioned 5 keV sample had an amorphous thickness of $ 100 . Thus, if the surfaceis the reason for the rapid dissolution, then thinning the sample should have a dramatic effecton defect dissolution rates. After thinning, the samples were annealed at 7508C for twodifferent times, and plan-view transmission electron microscopy (PTEM) was used to quantifythe trapped interstitials in the defects. Figure 1.10 shows that, as the amorphous layer wasthinned, no surface effect was observed until the amorphous layer was less than 60 .This means that the surface proximity did not have a measurable effect on the defect evolutionbetween 60 and 180 . This is counter to most of the models, which consider the surface asan innite sink for interstitials. Hence, there should exist a signicant gradient of interstitialstoward the surface. However, these results imply that the surface is not as strong a sink aspreviously thought.1.6.2 Milli second Annea lingDama ge anneal ing in the millis econd time regime presents a unique oppor tunity toinv estigate the ear ly st ages of the damage evol ution proces s, which we re no t previousl yposs ible with forme r availabl e anne aling proces ses such as RTA . The high tem perature sattainab le by the ash annealin g techni que, coupled with the extrem ely short anneal times,has enabled the defect evolut ion to be ma pped from the early stag es in the evolut ion tomatu re defect struc tures. These have been exten sively charac terized in the past, so thi sprocess allows for a more complete picture of the defect evolutionary processes. Suchknowledge is crucial to an understanding of the interstitial concentrations, and hence the101510141013TEM detection limit10121011Trapped interstitials (#/cm2)10101091 10 100 1000Time (s)10410530 keV10 keV5 keVFIGURE 1.9Dissolution kinetics of defects as the energy of the implant is reduced. At a threshold of 5 keV, the defects rapidlydissolve even though earlier kinetics is not signicantly different. 2008 by Taylor & Francis Group, LLC.mechani sms that are largel y respons ible for dopant diffusion in the silico n latt ice duri ngthermal proce ssing cycles.We now conside r defect evol ution for amo rphizing germ anium impl ants in silico n,during ash anneal ing. Tr aditional ly defe ct evolut ion studi es have bee n con ducted bytime-de pende nt studies usi ng iso thermal anneals [30,58 60] in which speci c defe ctstruc tures could be isolat ed. The nature of the ash anneal ing proces s does not pe rmitfor such investig ations, since the anneal time is set by the full wi dth at hal f maximu m(FWHM ) of the radiat ion pulse, which is on the or der of millisec onds. Thus, to investigatethe evol ution, on e must reso rt to isochron al anneal s at different tem peratures . Studiescond ucted on the eff ect of ash anneal ing on the evolut ion of the damage for amorp hizinggerm anium implants into silicon reveal the presence of defects at different stages in theirevolution, for different ash anneal temperatures. Such studies have been conducted on30 keV, 1 1015cm 3germanium implants performed on (100) n-type CZ grown siliconwafers [61]. Peak ash temperatures of 10008C, 11008C, 12008C, and 13008C are investigated,for a constant iRTP anneal temperature of 7008C. Figure 1.11 illustrates the PTEM images ofthe damage observed as the ash temperature is varied. The 7008C iRTP anneal results in theformation of dot-like defect structures of very high density. Similar dot-like defects are presentin the microstructure after the 10008C and 11008C ash anneals, but appear to be larger and ofa lower density. As the ash temperature is increased to 12008C and 13008C, respectively,{311}-type defects and dislocation loops are evident.The damage presen t in the struc ture is cons istent with type II defects [34], com monlyknown as EOR dam age, which occ urs beyond the amorp hous crystal line int erface. Thatdifferent defect structures are identi ed a t different anneal ing temperat ures in this work isnot surp rising. Iso chronal anneals, altho ugh a valid experi mental approach to temperat ure-depen dent studi es, do not yield EOR defects in the same pha se of their evol ution. At a givenisochron al a nnealing time, lowe r tem perature anneal s generat e EOR defec ts in their earliernucleati on, growth and coarsen ing stages, while high -temp erature anneals resu lt in defe ctsfurther along in their evolution, pos sibly in the dissol ution regime [62]. The evol ution of thetype II dam age observe d in this study at the high er anneal temperat ures concu r withprevious ndings, as the EOR defects are observed to evolve from {311}-type defects intodislocation loops with increasing ash anneal temperature.The existence of {311}-type defects and dislocation loops at such high temperatures canbe explained by considering that the anneal times at these temperatures were extremely11015110141101311012100 50 0 150 2001800 s2400 sTrapped interstitials (#/cm2) Amorphous layer depth ()FIGURE 1.10Number of trapped interstitials as a function ofamorphous layer depth. Instead of varyingenergy, the surface was thinned with polishing. 2008 by Taylor & Francis Group, LLC.short. Keys [62] demonstrates that it is possible to affect similar defect structures anddiffusion states at different anneal temperatures by determining an equivalent annealtime. Knowledge of the time, t1, required for defect dissolution at a given anneal tempera-ture, T1, allows one to calculate the anneal time, t2, required to affect similar defectstructures at another temperature, T2, from the ratio of the time constants for decay, t, inaccordance with the relation:t2 t1t1t2(1:1)in which t is related to the anneal temperature, T, by an Arrhenius relation given byEquation 1.2 that includes an activation energy, Ea, a preexponential factor, t0, and theBoltzmann constant, k,t t0exp EakT (1:2)The value of the Eaused in the calculation is based on the dominant defect structurepresent in the microstructure. Thus, in the case of the {311}-type defect, approximately 5 msat 12008C is required to affect total {311}-type defect dissolution based on an activationenergy of 3.7 eV [30,58]. This time exceeds the size of the radiation pulse produced by the(a) (b)(c) (d) (e)66 nmFIGURE 1.11WBDF PTEM images of the EOR defects imaged under g220two beam conditions of a 30 keV, 1 1015cm2Geamorphizing implant into Si (100): (a) 7008C iRTP; (b) 7008C iRTP, 10008C fRTP; (c) 7008C iRTP, 11008C fRTP;(d) 7008C iRTP, 12008C fRTP; and (e) 7008C iRTP, 13008C fRTP. (FromCamillo-Castillo, R.A. et al., Appl. Phys. Lett.,88, 232104, 2006. With permission.) 2008 by Taylor & Francis Group, LLC.ash lamps by an order of m agnitude. He nce the presence of {311}- type defect in themicro structur e afte r the 1200 8 C fRTP is plausible, since the time of the ash anneal wasinsuf c ient to affect dissolu tion based on the equiva lent anneal time calcul ation . A similarargu ment holds for the occ urrence of dislocat ion loops upo n anneal ing at 1300 8 C. Ananneal tim e of 0.3 ms is requi red to acc ount fo r the diffe rences in the int erstitial densi tiesbetwe en 1200 8C and 1300 8 C a sh anneal s, which falls within the range of the FW HM of theash pulse at 1300 8C, con rmi ng that the anne al time is suf cient to affect {311}- type defectdissolu tion, and expl aining the presence of on ly dislocat ion loops in the micro structure.Ther e has bee n no previo us experi mental evid ence of the EOR defe cts evol ving from thedot-lik e structur es to {311}- type defects. To con rm that these defe cts are in the early stagesof their evol ution, pos t ash thermal proce ssing, consisting of a spike rapid thermal annealat 95 08C, is perform ed on the ma terial con taining these dot-lik e structur es. Th is is based onthe notion that the additi onal the rmal budge t sh ould evol ve the damag e if it is in itsinfancy. Ex aminati on of the microst ructure subsequ ent to the 950 8C spi ke RTA revealsthe presence of dislocat ion loops in the struc ture, which corrobo rates the the ory that thedot-lik e defects evol ve into mo re stable defect struc tures wi th subs equent anneal ing [61].The exa ct con gura tion of small inter stitial clus ters has been the center of a numberof inv estigati ons, yet very little is still known about them. Rece nt experim ental andtheo retical data [9,63,64 ] demons trate that preci se cluste r size s exh ibit enhanced stabilit y,indicate d by the existe nce of minima and maxi ma in the cluste r binding energy curve.Howev er, cons iderable debate rem ains over the exa ct sizes of the stable clu sters. Cowern[9] found that interst itial clus ters that con sist of mo re than 20 atoms have a similardifferent ial formati on energy to the {311}- type defect, sugge sting that the int erstitial clus-ters unde rgo a transi tion to {311}- type defe cts a t a smaller clus ter size. Other investi gation s[65,66] support this ide a and suggest that the transi tion from sm all int erstitial cluste rs to{311}- type defects occ urs for inter stitial cluste rs con taining eight ato ms. He nce, the sm allinter stitial cluste rs observe d at 700 8 C iRTP, and the 1 000 8C and 1100 8C ash may in factbe {311}-typ e defects , since the total num ber of ato ms in these struc tures exceed s eightatoms , and the sm allest defect that can be imaged by a conve ntional TEM is approxi mately100 atoms [67]. Other st udies [60] of lower- energy germ anium amorp hizing implantspropose that small interstitial clusters may exhibit defect morphology very similar toplate-like dislocation loops. These dislocation loops were shown to be very unstable,dissolving with an activation energy of 1.13 0.14 eV [60]. If the dot-like defects in theseexperiments are analogous to small {311}-type defects alluded to by Cowern [9] or theloops observed by King [60], then any additional thermal budget applied to them shouldresult in a defect dissolution behavior that adheres to the respective dissolution kineticsof these defects.Figure s 1.12 and 1.13, resp ectively, depict the defect densi ties and trapped interstitialpopulations as a function of the peak anneal temperature [7,61]. It is evident that both ofthese quantities decrease as the ash temperature is increased above the 7008C iRTPanneal, indicating interstitial loss from the EOR damage as the defects evolve over thecourse of the ash anneal. This loss of interstitials during the ash anneal process may beattributed to interstitial recombination at the amorphouscrystalline interface duringregrowth of the amorphous layer, recombination at the surface on completion of theregrowth, and interstitial loss to the bulk of the material. These simultaneous factorssuggest that the defects are in a coarsening regime in which a fraction of the interstitialsis not recaptured by evolving defect structures. Consequently, during the ash anneal, thesystem is viewed as a leaky box from which interstitials are lost as the EOR defectsundergo coarsening.Investigations of the kinetics of the defect decay are traditionally performed by ttingthe interstitial density with time over various temperatures to an exponential function of the 2008 by Taylor & Francis Group, LLC.form of Equation 1.1. In these cases isolated defect structures such as {311}-type defects[30,58] and dislocation loops [59,60] were examined, enabling the kinetics for each defecttype to be extracted. Since the nature of the ash anneal process limits the investigations10131012101110101091081070 500 1000 1500Defect densityTEM detection limitfRTP (C)Defect density (cm2)FIGURE 1.12Defect density as a function of fRTP anneal temperature for a 1 1015cm2, 30 keV Ge amorphizing implant intoSi (100).10161015101410131012101110101091000 500 0 1500Trapped interstitial population (cm2)TEM detection limitfRTP (C)Trapped interstitial density (cm2)FIGURE 1.13Trapped interstitial density as a function of fRTP anneal temperature for a 1 1015cm2, 30 keV Ge amorphizingimplant. 2008 by Taylor & Francis Group, LLC.to isochronal anneals, which affects EOR defects at different stages in their evolution,conventional kineti c anal yses metho ds in which spe ci c defect struc tures are isolat edcannot be empl oyed to determine the rate of inter stitial loss fo r single isolated defe ctstruc tures. Rather the inter stitial loss dat a fo r the durat ion of the ash anneal over differi ngdefect st ructures is obtained. This necess itates the developme nt of ano ther approac h toanaly zing the attai nable expe rimental dat a, so that mean ingful compariso ns can be madeto past studies [61]. The adop ted appro ach ent ails the selectio n of a referenc e anneal , fromwhich the decay in the trapped int erstitial dens ity can be tracke d with the ash annealtemperat ure, allowing for the kinetics of the interst itial decay to be extracted . The interstitialvalue cor respond ing to the 700 8 C iRT P anneal temperat ure can serve as such a ref erence forthe initi al trap ped int erstitial value. This is validate d by the obse rvatio n that all of the wafe rsare hea ted to this tem perature prio r to app lication of the ash, and hen ce allo ws for thedecay in the trapped interst itials duri ng the ash portion of the thermal pro le, i.e., ashanneal , to be extracted such that the effect of the ash on the defects coul d be isolated.Figure 1.13 notic eably demo nstrat es that the trapped int erstitial decay follo ws an expo-nenti al relati on as the ash temperat ure is increa sed. He nce, in accordance with kinetic ratetheo ry, the int erstitial decay can be app roximate d by the relatio n:@ Cinterstitials@ t Cinter stitialst(1 : 3)whereCinterstitialsis the concentrat ion of trap ped inter stitials (cm 2)t (s) is the anneal tim et (s) is the capt ured interst itial lifetime, which is relate d to the anne al temperat ure,T (K), by an Arrhenius expre ssion that inc ludes an acti vation energy, Eat t0exp EakT (1 : 4)The proce ss simu lator FLOO PS [68] is utilize d to calculate the trapped inter stitial densi tyfor each ash anneal tem perature from an initial trap ped interst itial density (value for the700 8 C iRTP anne al), by tting the parame ters t0and Ea. The tem perature tim e vari ationsfor each anneal need to be inc orporat ed int o the simulat ion to allo w fo r an accu ratedetermi nation of t as time and temperat ure are altered. This approach facilitates the preciseintegration of the trapped interstitials with time. The decay rates derived from the ts ofthe experi mental trapped int erstitial pop ulatio ns are illus trated in Figure 1.14. The int er-stitial decay rate is found to vary linearly with the inverse ash temperature, yielding anactivation energy, Eaof 2.1 0.05 eV and preexponential factor, K0, of 3.3 1010s1. Wenote that the interstitial decay rates varied over two orders of magnitude for the ashtemperatures investigated, from approximately 100 s1at 10008C, compared to 2000 s1at12008C. Such high decay rates had never been observed.Comparison of the interstitial decay rates for {311}-type defects [30] and dislocationloops [59,60] demonstrates the much higher interstitial decay rates during the ash annealcompared to former studies. The interstitial decay rates for the ash anneals range twoorders of magnitude between 1 102and 1 103s1for anneal temperatures from 10008Cto 13008C, which are three orders of magnitude larger than the maximum rate previouslyreported, obtained by Seidel et al. [59] for the interstitial decay from stable dislocationloops. The interstitial decay rates for {311}-type defects and small dislocation loops weremuch lower. The temperature range of Seidels experiments coincides with ash temper-atures lower than 12008C. Yet, the interstitial decay rates are vastly dissimilar for this 2008 by Taylor & Francis Group, LLC.temperature regime, suggesting that the interstitial loss is most likely from a defect notsimilar to the dislocation loop. This suggests the existence of a highly unstable defectstructure at these temperatures, and correlates with the dot defects observed at thistemperature during the ash anneal.Examination of the differences in the activation energies for defect dissolution in theliterature provides further insight into the characteristics of the proposed highly unstabledefect. The extracted activation energies for interstitial loss during the ash annealare 2.7 and 1.6 eV smaller than the values obtained for dislocation loop and {311}-typedefect dissolution, respectively. That the activation energy determined for the interstitialdecay during the ash anneal is not similar to those previously extracted valuessupports the theory that the interstitial loss is not from comparable defect structures.It also clearly indicates that this defect is less stable than the {311} defect and disloca-tion loops.That dot-like defects exist in the structure for ash anneal temperatures of 11008C andlower show that these defects only exist in the early stages of annealing, and either evolveinto {311}-type defects or dissolve. The decrease in the trapped interstitial concentrationbetween 11008C and 12008C ash anneal temperatures suggests that some of these defectsdissolved, losing interstitials to either the surface to the bulk of the material. The dataimply that those dot-like defects that did not dissolve must have therefore evolved intothe {311}-type defect detected after the 12008C anneal, since they are no longer observedin the microstructure. This validates the supposition that the dot-like defect is a precursorfor the {311}-type defect. Consequently, the extracted kinetics for the decrease in trappedinterstitial density as a function of the temperature applies to the dissolution of this dot-likedefect structure.1.6.3 Regrowth-Related DefectsRegrowth-related defects arise upon recrystallization of an implantation-induced amorph-ous layer. These defects may arise if the amorphouscrystalline interface is rough. This canoccur for lighter ions, at higher implant energies, or if the temperature of the wafer risesFIGURE 1.14Arrhenius plot of the time constant derived fordefect decay extracted from the simulatedexperimental data, indicating an activationenergy, Ea, of 2.1 0.05 eV for dissolution.15.5 6 6.5 7104/T (eV)7.5 8 8.510100100010510430 keV Ge flash-assist RTPKdefect (s1) 2008 by Taylor & Francis Group, LLC.during the implant. These defects may be in the form of hairpin dislocations [69] orstacking faults and microtwins. Because the amorphouscrystalline interface is not planar,extended defects can form upon solid-phase recrystallization as the interface beginsto propagate toward the surface. In addition, regrowth-related defects may arise inpatterned structures such as at a gate edge. These defects arise when the lateral and verticalregrowth velocities are such that a pinch point forms where the two interfaces meet.This also requires the depth of the implant-induced amorphous layer to typically be over700800 thick [70].Figure 1.15 shows an image of the extended defects that can form near the mask edgeduring regrowth. This defect is a half loop dislocation that propagates up to the surface.Research has shown that this defect occurs because of the way the amorphous layer isshaped during regrowth. Figure 1.16a shows a regrowth condition that leads to thesemask edge defects. Figure 1.16b clearly shows the bottom corners beginning to pinch100 nmFIGURE 1.15Half loop dislocation.50 nm(b)(a)FIGURE 1.16The amorphous layer in cross section during regrowth. Both had 40 keV 1015Siimplants, and were annealed at7508C for 13 min. On the left there is no nitride pad and the pinching of the regrowth in the corner is evident. Theright has a nitride pad and avoids pinching. 2008 by Taylor & Francis Group, LLC.off [70]. Th e defe ct forms along the pinch when the two crystal line surfa ces are notperfec tly aligned atomicall y. Chan ging the st ress in the wafer can change thi s behavior.Upo n the introduct ion of ten sile stress from either a thin lm such as a nitride layer [71]or throu gh bending the wafe r [72], the solid-p hase regr owth velocit ies can be altere d.Figu re 1.16 shows the elim ination of the pinch point when the wafer has a nit ride implantmas k during anneal . Figure 1.17 sh ows the top view of thi s proce ss. Defects lie around themas k and can provi de fau lts in subs equent circuits .1. 7 ConclusionsUnde rstandi ng defect behavior is critica l fo r optimi zing shallo w junctions in highly sca ledcom plemen tary metal- oxide semico nductor (CMOS ) manufact uring. Even though theimpl ant energie s have been signi cantly reduce d, which reduce s the to tal dam age depos-ited, extended defects still form. The surfa ce is not as strong a sink as it was once believe dto be. Defects still form and control junct ion charac teristi cs.Advanc es in anneal ing technol ogies that allow millis econd anne als were also thou ght torend er the defects unimp ortant. Altho ugh these junctions freq uently show little or nodiffus ion, the defects can control and determi ne the activati on and thus the resistance ofthe junction. Mo dels for defect beha vior in silico n in thi s tim e regime are still fairlyprimi tive and work rem ains to be done.For scaled devices, extended defects c an be the size of the active area of the devi ce andbridge the channel. Understan ding ho w defects fo rm around mask edge s is crit ical forreli ability predic tion. Mask edge defe cts can bridge devi ces and provid e a leakag e pathshor ting the device.There are many issues that remain to be resolved for shallow junctions in highly scaledCMOS manufacturing technologies. Defects can still control diffusion and activationprocesses; this does not change as the layers get shallow. These defects continue tobe important yield, performance, and reliability issues for microelectronic materialsand devices.100 nmFIGURE 1.17Top view of the mask edge defects. On the left is a case with pinching, and on the right it is prevented with themask. (From Olson, C.R. et al., J. Vac. Sci. Technol. B, 24, 446, 2006. With permission.) 2008 by Taylor & Francis Group, LLC.Re fer enc es1. Semiconductor Industry Association, International Technology Roadmap for Semiconductors . Avail-able at: http: ==www.itrs.net =reports.html, 2006 edition.2. Thompson, S.E. et al., A logic nantotechnology featuring strained-silicon, IEEE Electron Dev. Lett.,25, 191, 2004.3. Doris, B. et al., Extreme scaling with ultra-thin silicon channel MOSFETs (XFET), IEEE IEDM,San Francisco, CA, 2002, p. 267.4. Wong, H.S.P., Frank, D.J., and Solomon, P.M., Device design considerations for double-gate,ground-plane, and single-gated ultra-thin SOI MOSFETs at the 25 nmchannel length generation,IEEE IEDM, San Francisco, CA, 1998, p. 407.5. Hergenrother, J.M. et al., The vertical replacement-gate (VRG) MOSFET: A 50-nm verticalMOSFET with lithography-independent gate length, IEEE IEDM, Washington, DC, 1999, p. 75.6. Giles, M.D., Transient phosphorus diffusion below the amorphization threshold, J. Electrochem.Soc., 138, 1160, 1991.7. Camm, D.M. and Lojek, B., High power arc-lamp RTP system for high temperature annealingapplication, RTP Proc., Raleigh-Durham, NC, 1994, p. 259.8. Stuart, G.C. et al., Temperature diagnostics for a dual-arc FRTP tool, IEEE Intl. Conf. on ATP ofSemi., San Francisco, CA, 2002, p. 77.9. Cowern, N.E.B. et al., Energetics of self-interstitial clusters in silicon, Phys. Rev. Lett., 82, 4460,1999.10. Tan, T.Y., Atomic modeling of homogeneous nucleation of dislocations from condensation ofpoint defects in silicon, Phil. Mag. A, 44, 101, 1981.11. Takeda, S. et al., Interstitial defects on {113} in Si and Ge line defect conguration incorporatedwith a self-interstitial atom chain, Phil. Mag. A, 70, 287, 1994.12. Kim, J. et al., Extended Si {311} defects, Phys. Rev. B, 55, 16186, 1997.13. Pelaz, L. et al., B diffusion and clustering in ion implanted Si: The role of B cluster precursors,Appl. Phys. Lett., 70, 2285, 1997.14. Lilak, A.D. et al., A physics based modeling approach for the simulation of anomalous borondiffusion and clustering behaviors, IEEE IEDM, Washington, DC, 1997, p. 493.15. Radic, L., Lilak, A.D., and Law, M.E., Dependence of boron cluster dissolution on the annealingambient, Appl. Phys. Lett., 81, 826, 2002.16. Michel, A.E., Rausch, W., and Ronsheim, P.A., Implantation damage and the anomalous transientdiffusion of ion implanted boron, Appl. Phys. Lett., 51, 487, 1987.17. Brindos, R., Keys, P., and Jones, K.S., Effect of arsenic doping on {311} defect dissolution in silicon,Appl. Phys. Lett., 75, 229, 1999.18. Fair, R.B. and Tsai, J.C.C., Prole parameters of implanted-diffused arsenic layers in silicon,J. Electrochem. Soc., 123, 583, 1977.19. Luning, S. et al., Kinetics of high concentration arsenic deactivation at moderate to low temper-atures, IEEE Trans. Electron Dev., 17, 457, 1992.20. Dokumaci, O. et al., Transmission electron microscopy analysis of heavily doped As-doped, laser,and thermally annealed layers in silicon, J. Appl. Phys., 78, 828, 1995.21. Nobili, D. et al., Precipitation, aggregation, and diffusion in heavily arsenic-doped silicon, Phys.Rev. B, 49, 2477, 1994.22. Nobili, D. et al., Precipitation as the phenomena responsible for the electrically inactive arsenic insilicon, J. Electrochem. Soc., 130, 992, 1983.23. Scholz,