31
Página 1 EL - 3307 Diseño Lógico Ing. José Alberto Díaz García DECODIFICADORES ARQUITECTURAS ESPECIALES

Decodificadores

Embed Size (px)

DESCRIPTION

concepto y fundamentos en la practica

Citation preview

  • Pgina 1

    EL - 3307Diseo Lgico

    Ing. Jos Alberto Daz Garca

    D

    E

    C

    O

    D

    I

    F

    I

    C

    A

    D

    O

    R

    E

    S

    ARQUITECTURAS ESPECIALES

  • Pgina 2

    EL - 3307Diseo Lgico

    Ing. Jos Alberto Daz Garca

    D

    E

    C

    O

    D

    I

    F

    I

    C

    A

    D

    O

    R

    E

    S

    DECODIFICADOR Es un circuito combinacional, cuya funcin es la

    de convertir un cdigo binario de entrada (natural, BCD, etc.) de N bits de entrada a Mlneas de salida (N puede ser cualquier entero y M es un entero menor o igual a 2N), tales que cada lnea de salida ser activada para una sola de las combinaciones posibles de entrada.

    Estos circuitos, normalmente, se suelen encontrar como decodificador / demultiplexor.

    Esto es debido a que un demultiplexor puede comportarse como un decodificador.

    http://es.wikipedia.org/wiki/Decodificador

  • Pgina 3

    EL - 3307Diseo Lgico

    Ing. Jos Alberto Daz Garca

    D

    E

    C

    O

    D

    I

    F

    I

    C

    A

    D

    O

    R

    E

    S

    CODIFICADORES

    .

    .

    .

    .

    .

    .

    nentradas

    m = 2n

    salidas

    Codificadorbinario

    Solo una salida puede estar activa en un mismo instante

  • Pgina 4

    EL - 3307Diseo Lgico

    Ing. Jos Alberto Daz Garca

    D

    E

    C

    O

    D

    I

    F

    I

    C

    A

    D

    O

    R

    E

    S

    Decodificador de 1 a 2 lneas

    Ejemplos de Decodificadores

    A D0 D1

    0 1 01 0 1

    (a) (b)

    D1 5 AA

    D0 5 A

  • Pgina 5

    EL - 3307Diseo Lgico

    Ing. Jos Alberto Daz Garca

    D

    E

    C

    O

    D

    I

    F

    I

    C

    A

    D

    O

    R

    E

    S

    Ejemplo de decodificadores

    Decodificador de 2 a 4 lneas

    Note that the 2-4-linemade up of 2 1-to-2-line decoders and 4 AND gates.

    A1

    0011

    A0

    0101

    D0

    1000

    D1

    0100

    D2

    0010

    D3

    0001

    (a)

    D0 5 A 1 A 0

    D1 5 A 1 A 0

    D2 5 A 1 A 0

    D3 5 A 1 A 0

    (b)

    A 1

    A 0

  • Pgina 6

    EL - 3307Diseo Lgico

    Ing. Jos Alberto Daz Garca

    D

    E

    C

    O

    D

    I

    F

    I

    C

    A

    D

    O

    R

    E

    S

    Estructura de los decodificadores

    Los decodificadores son circuitos lgicos con dos niveles de compuertas, y una lgica de control. Un primer nivel donde se generan las seales

    de entrada, normalmente se compone de inversores, esto es compuertas NOT.

    Un segundo nivel con compuertas del tipo AND o NAND, que generan los trminos de salida.

    La lgica de control permite colocar varios decodificadores en cascada o seleccionarlo en el momento oportuno

  • Pgina 7

    EL - 3307Diseo Lgico

    Ing. Jos Alberto Daz Garca

    D

    E

    C

    O

    D

    I

    F

    I

    C

    A

    D

    O

    R

    E

    S

    Estructura de los decodificadores

    Segundo nivel de

    compuertas

    Primer nivel de

    compuertas

    Lgica de control

  • Pgina 8

    EL - 3307Diseo Lgico

    Ing. Jos Alberto Daz Garca

    D

    E

    C

    O

    D

    I

    F

    I

    C

    A

    D

    O

    R

    E

    S

    Decodificador 74LS138 El 74LS138 es un decodificador de tres entradas que produce

    ocho salidas activas en nivel bajo, con tres entradas de control. Es un circuito integrado muy utilizado en la seleccin de

    dispositivos. La tabla de verdad se muestra a continuacin Como se puede observar las funciones de salida solo dependen de

    un mintrmino, no de un conjunto de ellos.

  • Pgina 9

    EL - 3307Diseo Lgico

    Ing. Jos Alberto Daz Garca

    D

    E

    C

    O

    D

    I

    F

    I

    C

    A

    D

    O

    R

    E

    S

    Diagrama lgico del 74LS138

  • Pgina 10

    EL - 3307Diseo Lgico

    Ing. Jos Alberto Daz Garca

    D

    E

    C

    O

    D

    I

    F

    I

    C

    A

    D

    O

    R

    E

    S

    Expansin del decodificador

    Que sucede si queremos utilizar un decodificador de 16 lneas de salida si solo contamos con circuitos integrados 74LS138?.

    Lo primero es que las seales de entrada se incrementan en una variables.

    Se necesitan ms de un decodificador 74LS138, por lo menos dos.

    Se deben utilizar las seales de control con el fin de seleccionar los diferentes circuitos integrados, esto es una lgica para la seleccin de los diferentes decodificadores

  • Pgina 11

    EL - 3307Diseo Lgico

    Ing. Jos Alberto Daz Garca

    D

    E

    C

    O

    D

    I

    F

    I

    C

    A

    D

    O

    R

    E

    S

    Mltiples decodificadores

    A1

    B2

    C3

    Y015

    Y114

    Y213

    Y312

    Y411

    Y510

    Y69

    Y77

    G16

    G2A4

    G2B5

    A1

    B2

    C3

    Y015

    Y114

    Y213

    Y312

    Y411

    Y510

    Y69

    Y77

    G16

    G2A4

    G2B5

    1 2

    ABC

    D

    Y0Y1Y2Y3Y4Y5Y6Y7

    Y8Y9Y10Y11

    Y13Y12

    Y14Y15

    Lgica de seleccin

  • Pgina 12

    EL - 3307Diseo Lgico

    Ing. Jos Alberto Daz Garca

    D

    E

    C

    O

    D

    I

    F

    I

    C

    A

    D

    O

    R

    E

    S

    Mltiples decodificadores

    Si se quiere disear un decodificador de 32 salidas utilizando varios 74LS138

    Se debe agregar dos variables ms, y utilizar por lo menos cuatro 74LS138.

    Respecto a la lgica de control se puede utilizar otro 74LS138 con el fin de reducir la cantidad de componentes en el proceso de seleccin de los decodificadores

  • Pgina 13

    EL - 3307Diseo Lgico

    Ing. Jos Alberto Daz Garca

    D

    E

    C

    O

    D

    I

    F

    I

    C

    A

    D

    O

    R

    E

    S

    Mltiples decodificadores

    A1

    B2

    C3Y0

    15

    Y1 14

    Y2 13

    Y3 12

    Y411

    Y510

    Y69

    Y7 7

    G16

    G2A4

    G2B5

    A1

    B2

    C3

    Y015

    Y114

    Y213

    Y3 12

    Y4 11

    Y5 10

    Y69

    Y77

    G16

    G2A4

    G2B5

    ABC

    D

    Y0Y1Y2Y3Y4Y5Y6Y7

    Y8Y9Y10Y11

    Y13Y12

    Y14Y15

    A1

    B2

    C3

    Y0 15

    Y1 14

    Y213

    Y312

    Y411

    Y5 10

    Y6 9

    Y7 7

    G16

    G2A4

    G2B5

    A1

    B2

    C3Y0

    15

    Y1 14

    Y2 13

    Y3 12

    Y4 11

    Y510

    Y69

    Y77

    G16

    G2A4

    G2B5

    Y16

    Y19Y18Y17

    Y22Y21Y20

    Y24

    Y23

    Y27Y26Y25

    Y30

    Y28Y29

    Y31

    A1

    B2

    C3

    Y015

    Y114

    Y213

    Y3 12

    Y4 11

    Y5 10

    Y69

    Y77

    G16

    G2A4

    G2B5

    E

    VCC

    VCC

    Lgica de seleccin

  • Pgina 14

    EL - 3307Diseo Lgico

    Ing. Jos Alberto Daz Garca

    D

    E

    C

    O

    D

    I

    F

    I

    C

    A

    D

    O

    R

    E

    S

    Decodificador de siete segmentos Este circuito descodifica

    la informacin de entrada en binario para producir las seales necesarias para encender LED colocados en un arreglo como el que se muestra.

    Normalmente este decodificador es el 7447 o 7448, depende de si el arreglo de los LEDs es de nodo comn o de ctodo comn.

  • Pgina 15

    EL - 3307Diseo Lgico

    Ing. Jos Alberto Daz Garca

    D

    E

    C

    O

    D

    I

    F

    I

    C

    A

    D

    O

    R

    E

    S

    Decodificador de 7 segmentos 74LS47

    Es un decodificador de BCD a 7 segmentos. Sus salidas son activas en nivel bajo, cero

    voltios. Normalmente se utiliza con una pantalla de siete

    segmentos en configuracin de nodo comn.

  • Pgina 16

    EL - 3307Diseo Lgico

    Ing. Jos Alberto Daz Garca

    D

    E

    C

    O

    D

    I

    F

    I

    C

    A

    D

    O

    R

    E

    S

    Tabla de verdad del 74LS47

  • Pgina 17

    EL - 3307Diseo Lgico

    Ing. Jos Alberto Daz Garca

    D

    E

    C

    O

    D

    I

    F

    I

    C

    A

    D

    O

    R

    E

    S

    Circuito interno del 74LS47Minterminos Compuertas OR de salida

    Lgica de control

    Entradas

  • Pgina 18

    EL - 3307Diseo Lgico

    Ing. Jos Alberto Daz Garca

    D

    E

    C

    O

    D

    I

    F

    I

    C

    A

    D

    O

    R

    E

    S

    7447 Manejando un display de siete segmentos

  • Pgina 19

    EL - 3307Diseo Lgico

    Ing. Jos Alberto Daz Garca

    D

    E

    C

    O

    D

    I

    F

    I

    C

    A

    D

    O

    R

    E

    S

    Aplicacin

    Pantalla para cuatro dgitos decimales implementada con 4 dgitos de siete segmentos.

  • Pgina 20

    EL - 3307Diseo Lgico

    Ing. Jos Alberto Daz Garca

    D

    E

    C

    O

    D

    I

    F

    I

    C

    A

    D

    O

    R

    E

    S

    Implementacin de funciones lgicas

    Normalmente las funciones lgicas expresadas en mintrminos tienen dos niveles de compuertas: un primer nivel de compuertas AND que corresponden a los mnterminos presentes en la solucin de la funcin de salida, y un nivel de compuertas OR que corresponden a la unin de todos los mintrminos presentes en la funcin de salida.

    Como los decodificadores tienen una estructura de compuertas AND en la etapa de salida, correspondientes a todos los mintrminos que genera el posible cdigo, estos se podran utilizar para implementar cualquier funcin lgica que los necesite.

    Entonces los decodificadores con ayuda de compuertas lgicas externas, se pueden utilizar para implementar configuraciones de funciones lgicas.

  • Pgina 21

    EL - 3307Diseo Lgico

    Ing. Jos Alberto Daz Garca

    D

    E

    C

    O

    D

    I

    F

    I

    C

    A

    D

    O

    R

    E

    S

    Ejemplo

    Este ejemplo pretende mostrar la utilizacin de un decodificador en la generacin de funciones booleanas

    Consiste del diseo de un circuito combinacional con dos variables de entrada activas en nivel alto que producen cuatro salidas activas en nivel alto.

    Sistema lgico combinacionalB

    A

    S0S1S2S3

  • Pgina 22

    EL - 3307Diseo Lgico

    Ing. Jos Alberto Daz Garca

    D

    E

    C

    O

    D

    I

    F

    I

    C

    A

    D

    O

    R

    E

    S

    Ejemplo

    La siguiente tabla de verdad muestra el comportamiento del sistema de dos variables de entrada y cuatro funciones de salida

    111011

    110101

    101110

    011100

    S0S1S2S3BA

    SALIDASENTRA-

    DAS

  • Pgina 23

    EL - 3307Diseo Lgico

    Ing. Jos Alberto Daz Garca

    D

    E

    C

    O

    D

    I

    F

    I

    C

    A

    D

    O

    R

    E

    S

    Ejemplo de funciones lgicas (Cont.)

    Entonces tenemos los siguientes modelos lgicos para las salidas:

    BABABAS ++=3ABBABAS ++=2ABBABAS ++=1ABBABAS ++=0

  • Pgina 24

    EL - 3307Diseo Lgico

    Ing. Jos Alberto Daz Garca

    D

    E

    C

    O

    D

    I

    F

    I

    C

    A

    D

    O

    R

    E

    S

    Modelos lgicos

    Entonces los modelos lgicos son:

    = )2,1,0(3S= )3,1,0(2S= )3,2,0(1S= )3,2,1(0S

  • Pgina 25

    EL - 3307Diseo Lgico

    Ing. Jos Alberto Daz Garca

    D

    E

    C

    O

    D

    I

    F

    I

    C

    A

    D

    O

    R

    E

    S

    CIRCUITO DEL DECODIFICADOR

    00

    01

    10

    11

    S1

    S0

    S2

    S3

    A B

  • Pgina 26

    EL - 3307Diseo Lgico

    Ing. Jos Alberto Daz Garca

    D

    E

    C

    O

    D

    I

    F

    I

    C

    A

    D

    O

    R

    E

    S

    Utilizando un decodificador

    U1

    74LS138

    A1

    B2

    C3

    Y015

    Y114

    Y213

    Y312

    Y411

    Y510

    Y69

    Y77

    G16

    G2A4

    G2B5

    U2A

    74LS10

    12

    1312

    U2B

    74LS10

    345

    6

    U2C

    74LS10

    91011

    8

    U3A

    74LS10

    12

    1312

    VCC

    AB

  • Pgina 27

    EL - 3307Diseo Lgico

    Ing. Jos Alberto Daz Garca

    D

    E

    C

    O

    D

    I

    F

    I

    C

    A

    D

    O

    R

    E

    S

    P

    X

    Q

    (a) (b)

    A

    B

    C f(Q, X, P)

    0

    1

    2

    3

    4

    5

    6

    7

    P

    X

    Q

    A

    B

    C f(Q, X, P)

    0

    1

    2

    3

    4

    5

    6

    7

    Ejemplo

    Implementar f(Q,X,P) = (0,1,4,6,7) = (2,3,5)

  • Pgina 28

    EL - 3307Diseo Lgico

    Ing. Jos Alberto Daz Garca

    D

    E

    C

    O

    D

    I

    F

    I

    C

    A

    D

    O

    R

    E

    S

    (c) (d)

    f(Q, X, P)

    A

    B

    C f(Q, X, P)

    0

    1

    2

    3

    4

    5

    6

    7

    P

    X

    Q

    A

    B

    C

    0

    1

    2

    3

    4

    5

    6

    7

    P

    X

    Q

    Continuacin

    Todos los casos anteriores son equivalentes

  • Pgina 29

    EL - 3307Diseo Lgico

    Ing. Jos Alberto Daz Garca

    D

    E

    C

    O

    D

    I

    F

    I

    C

    A

    D

    O

    R

    E

    S

    Aplicaciones

    La memoria de un Microprocesador Seleccin de diferentes bancos de memoria.

    Sistemas de entrada/salida del microprocesador Seleccin de diferentes dispositivos

    Decodificacin de instrucciones en un microprocesador Habilitar diferentes unidades funcionales

    Chips de memoria Habilita diferentes filas de memoria dependiendo de la

    direccin.

    Otras aplicaciones

  • Pgina 30

    EL - 3307Diseo Lgico

    Ing. Jos Alberto Daz Garca

    D

    E

    C

    O

    D

    I

    F

    I

    C

    A

    D

    O

    R

    E

    S

    Aplicaciones

    Su funcin principal es la de direccionarespacios de memoria. Un descodificador de N entradas puede direccionar 2Nespacios de memoria.

    Para poder direccionar 1KB de memoria necesitara 10 bits, ya que la cantidad de salidas seria 210, igual a 1024.

    De esta manera: Con 20 bits => 220 = 1Mb; Con 30 bits => 230 = 1Gb, etc.

  • Pgina 31

    EL - 3307Diseo Lgico

    Ing. Jos Alberto Daz Garca

    D

    E

    C

    O

    D

    I

    F

    I

    C

    A

    D

    O

    R

    E

    S

    BIBLIOGRAFIA

    http://es.wikipedia.org/wiki/Codificador, consultado el 16 de julio del 2007

    http://www.ti.com/, consultado el 2 de setiembre del 2007

    Fletcher, William I. An Engineeringapproach to digital design, Prentince Hall, Inc. Primera edicin, USA, 1980