249
Lecture Notes on PRINCIPLES OF PLASMA PROCESSING Francis F. Chen Electrical Engineering Department Jane P. Chang Chemical Engineering Department University of California, Los Angeles e - e - e - e - e - e - + + + + + + + + e - e - e - e - e - + + + + + + + e - e - e - e - e - e - + + + + + + + + + + + + + + + + e - e - e - e - e - + + + + + + + + + + + + + + n p n + n + p + p + silicon E Plenum/Kluwer Publishers 2002

Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Embed Size (px)

Citation preview

Page 1: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Lecture Notes on

PRINCIPLES OFPLASMA PROCESSING

Francis F. ChenElectrical Engineering Department

Jane P. ChangChemical Engineering Department

University of California, Los Angeles

e-e- e-

e-

e-

e-+

+ +

+++

+ +

e-e- e-

e-

e-+

+ +

++

+ +

np

n+ n+ p+ p+

silicon

E

e-e- e-

e-

e-

e-++

++ ++

++++++

++ ++

e-e- e-

e-

e-++

++ ++

++++

++ ++

np

n+ n+ p+ p+

silicon

E

Plenum/Kluwer Publishers

2002

Page 2: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Preface v

Reference books used in this course

PRINCIPLES OF PLASMA PROCESSING

PREFACE

We want to make clear at the outset what this bookis NOT. It is not a polished, comprehensive textbook onplasma processing, such as that by Lieberman andLichtenberg. Rather, it is an informal set of lecture noteswritten for a nine-week course offered every two years atUCLA. It is intended for seniors and graduate students,especially chemical engineers, who have had no previousexposure to plasma physics. A broad range of topics iscovered, but only a few can be discussed in enough depthto give students a glimpse of forefront research. Sinceplasmas seem strange to most chemical engineers,plasma concepts are introduced as painlessly as possible.Detailed proofs are omitted, and only the essential ele-ments of plasma physics are given. One of these is theconcept of sheaths and quasineutrality. Sheaths aredominant in plasma reactors, and it is important to de-velop a physical feel for their behavior.

Good textbooks do exist. Two of these, to whichwe make page references in these notes for those whowant to dig deeper, are the following:M.A. Lieberman and A.J. Lichtenberg, Principles of Plasma Dis-

charges and Materials Processing (John Wiley, New York,1994).

F.F. Chen, Introduction to Plasma Physics and Controlled Fusion,Vol. 1, 2nd ed. (Plenum Press, 1984).

In addition, more topics and more detail are available inunpublished notes from short courses offered by theAmerican Vacuum Society or the Symposium on Plasmaand Process Induced Damage. Lecture notes by suchspecialists as Prof. H.H. Sawin of M.I.T. are more com-prehensive. Our aim here is to be comprehensible..

The lectures on plasma physics (Part A) and onplasma chemistry (Part B) are interleaved in class meet-ings but for convenience are printed consecutively here,since they were written by different authors. We havetried to keep the notation the same, though physicists andchemists do tend to express the same formula in differentways. There are no doubt a few mistakes; after all, theseare just notes. As for the diagrams, we have given thesource wherever possible. Some have been handed downfrom antiquity. If any of these are yours, please let usknow, and we will be glad to give due credit. The dia-grams are rather small in printed form. The CD which

Page 3: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

vi

A small section of a memory chip.

Straight holes like these can be etchedonly with plasmas

accompanies the text has color figures that can be ex-panded for viewing on a computer monitor. There arealso sample homework problems and exam questionsthere.

Why study plasma processing? Because we cantget along without computer chips and mobile phonesthese days. About half the steps in making a semicon-ductor circuit require a plasma, and plasma machines ac-count for most of the equipment cost in a fab. Design-ers, engineers, and technicians need to know how aplasma behaves. These machines have to be absolutelyreliable, because many millions of transistors have to beetched properly on each chip. It is amazing that this canbe done at all; improvements will certainly require moreplasma expertise. High-temperature plasmas have beenstudied for decades in connection with controlled fusion;that is, the production of electric power by creatingminiature suns on the earth. The low-temperature plas-mas used in manufacturing are more complicated be-cause they are not fully ionized; there are neutral atomsand many collisions. For many years, plasma sourceswere developed by trial and error, there being little un-derstanding of how these devices worked. With the vaststore of knowledge built up by the fusion effort, thesituation is changing. Partially ionized, radiofrequencyplasmas are being better understood, particularly with theuse of computer simulation. Low-temperature plasmaphysics is becoming a real science. This is the newfrontier. We hope you will join in the exploration of it.

Francis F. Chen Jane P. Chang Los Angeles, 2002

Page 4: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Table of Contents i

TABLE OF CONTENTSPREFACE v

Plasma Physics

PART Al: INTRODUCTION TO PLASMA SCIENCE

I. What is a plasma? 1II. Plasma fundamentals 3

1. Quasineutrality and Debye length2. Plasma frequency and acoustic velocity3. Larmor radius and cyclotron frequency4. E × B drift5. Sheaths and presheaths

PART A2: INTRODUCTION TO GAS DISCHARGES

III. Gas discharge fundamentals 111. Collision cross section and mean free

path2. Ionization and excitation cross sections3. Coulomb collisions; resistivity4. Transition between neutral- and ion-

dominated electron collisions5. Mobility, diffusion, ambipolar diffusion6. Magnetic field effects; magnetic bucketsCross section data 21

PART A3: PLASMA SOURCES I

IV. Introduction to plasma sources 251. Desirable characteristics of plasma

processing sources2. Elements of a plasma source

PART A4: PLASMA SOURCES II

V. RIE discharges 311. Debye sheath2. Child-Langmuir sheath3. Applying a DC bias4. Applying an RF bias5. Displacement current6. Ion dynamics in the sheath7. Other effects in RIE reactors8. Disadvantages of RIE reactors9. Modified RIE devices

Plasma Chemistry

PART B1: OVERVIEW OF PLASMA PROCESSINGIN MICROELECTRONICS FABRICATION

I. Plasma processing 99II. Applications in Microelectronics 100

PART B2: KINETIC THEORY AND COLLISIONS

I. Kinetic theory 103II. Practical gas kinetic models and

macroscopic properties 1091. Maxwell-Boltzmann distribution (MBD)2. A simplified gas model (SGM)3. Energy content4. Collision rate between molecules5. Mean free path6. Flux of gas particles on a surface7. Gas pressure8. Transport properties9. Gas flow

III. Collision dynamics 1191. Collision cross sections2. Energy transfer3. Inelastic collisions

PART B3: ATOMIC COLLISIONS AND SPECTRA

I. Atomic energy levels 125II. Atomic collisions 126

1. Excitation processes2. Relaxation and recombination processes

III. Elastic collisions 1291. Coulomb collisions2. Polarization scattering

IV. Inelastic collisions 1301. Constraints on electronic transitions2. Identification of atomic spectra3. A simplified model

Page 5: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Table of Contentsii

PART A5: PLASMA SOURCES III

VI. ECR sources 47VII. Inductively coupled plasmas (ICPs) 49

1. Overview of ICPs2. Normal skin depth3. Anomalous skin depth4. Ionization energy5. Transformer coupled plasmas (TCPs)6. Matching circuits7. Electrostatic chucks (ESCs)

PART A6: PLASMA SOURCES IV

VIII. Helicon wave sources and HDPs 611. Dispersion relation2. Wave patterns and antennas3. Mode jumping4. Modified skin depth5. Trivelpiece-Gould modes6. Examples of helicon measurements7. Commercial helicon sources

IX. Discharge equilibrium 691. Particle balance2. Energy balance3. Electron temperature4. Ion temperature

PART A7: PLASMA DIAGNOSTICS

X. Introduction 75XI. Remote diagnostics 75

1. Optical spectroscopy2. Microwave interferometry3. Laser Induced Fluorescence (LIF)

XII. Langmuir probes 791. Construction and circuit2. The electron characteristic3. Electron saturation4. Space potential5. Ion saturation current 836. Distribution functions 907. RF compensation8. Double probes and hot probes

PART B4: MOLECULAR COLLISIONS ANDSPECTRA

I. Molecular energy levels 1371. Electronic energy level2. Vibrational energy level3. Rotational energy level

II. Selection rule for optical emission ofmolecules 139

III. Electron collisions with molecules 1401. Frank-Condon principle2. Dissociation3. Dissociative ionization4. Dissociative recombination5. Dissociative electron attachment6. Electron impact detachment7. Vibrational and rotational excitation

IV. Heavy particle collisions 142V. Gas phase kinetics 143

PART B5: PLASMA DIAGNOSTICS

I. Optical emission spectroscopy 1511. Optical emission2. Spectroscopy3. Actinometry4. Advantages/disadvantages5. Application: end-point detection

II. Laser induced fluorescence 161III. Laser interferometry 162IV. Full-wafer interferometry 163V. Mass spectrometry 164

PART B6: PLASMA SURFACE KINETICS

I. Plasma chemistry 167II. Surface reactions 167

1. Spontaneous surface etching2. Spontaneous deposition3. Ion sputtering kinetics4. Ion-enhanced chemical etching

III. Loading 177IV. Selectivity 178V. Detailed reaction modeling 179

Page 6: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Table of Contents iii

XIII. Other local diagnostics 931. Magnetic probes2. Energy analyzers3. RF current probe4. Plasma oscillation probe

PART B7: FEATURE EVOLUTION ANDMODELING

I. Fundamentals of feature evolution inplasma etching 183

II. Predictive modeling 185III. Mechanisms of profile evolution 186

1. Ion bombardment directionality2. Ion scattering within the feature3. Deposition rate of passivants4. Line-of-sight redeposition of products5. Charging of surfaces in the features

IV. Profile simulation 190V. Plasma damage 193

1. Contamination2. Particulates3. Gate oxide Damage − photon4. Gate oxide damage − electrical stress5. Lattice damage6. Post-etch corrosion

EPILOGUE: CURRENT PROBLEMS IN SEMICONDUCTOR PROCESSING 199I. Front-end challenges 199 1. High-k dielectrics 2. Metal gates

II. Back-end challenges 201 1. Copper metalllization 2. Interlayer dielectrics (ILDs) 3. Barrier materials

III. Patterning nanometer features 204 1. E-beam

2. Resist trimming

IV. Deep reactive etch for MEMS 205V. Plasma-induced damage 206VI. Species control in plasma reactors 207

Page 7: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Introduction to Plasma Science 1

Diagrams can be enlarged on acomputer by using the CD-ROM.

Ions and electrons make a plasma

v

f(v)

A Maxwellian distribution

A hot plasma in a fusion reactor

PRINCIPLES OF PLASMA PROCESSINGCourse Notes: Prof. F.F. Chen

PART A1: INTRODUCTION TO PLASMA SCIENCE

I. WHAT IS A PLASMA?Plasma is matter heated beyond its gaseous state,

heated to a temperature so high that atoms are stripped ofat least one electron in their outer shells, so that what re-mains are positive ions in a sea of free electrons. Thisionization process is something we shall study in moredetail. Not all the atoms have to be ionized: the coolerplasmas used in plasma processing are only 1-10% ion-ized, with the rest of the gas remaining as neutral atomsor molecules. At higher temperatures, such as those innuclear fusion research, plasmas become fully ionized,meaning that all the particles are charged, not that thenuclei have been stripped of all their electrons.

We can call a plasma hot or cold, but theseterms have to be explained carefully. Ordinary fluids arein thermal equilibrium, meaning that the atoms or mole-cules have a Maxwellian (Gaussian) velocity distributionlike this:

f v Ae mv KT( ) ( / )= − ½ 2,

where A is a normalization factor, and K is Boltzmannsconstant. The parameter T, then, is the temperature,which determines the width of the distribution. In aplasma, the different speciesions, electrons, and neu-tralsmay have different temperatures: Ti, Te, and Tn.These three (or more, if there are different kinds of ionsor atoms) interpenetrating fluids can move through oneanother, but they may not collide often enough to equal-ize the temperatures, because the densities are usuallymuch lower than for a gas at atmospheric pressure.However, each species usually collides with itself oftenenough to have a Maxwellian distribution. Very hotplasmas may be non-Maxwellian and would have to betreated by kinetic theory.

A cool plasma would have to have an electrontemperature of at least about 10,000°K. Then the fastelectrons in the tail of the distribution would be ener-getic enough to ionize atoms they collide with oftenenough to overcome recombination of ions and electronsback into neutrals. Because of the large numbers, it ismore convenient to express temperature in electron-volts(eV). When T is such that the energy KT is equal to the

Page 8: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A12

A cooler plasma: the Aurora Borealis

Most of the sun is in a plasma state,especially the corona.

The earth plows through the magnet-ized interplanetary plasma created by

the solar wind.

Comet tails are dusty plasmas.

energy an electron gets when it falls through an electricpotential of 1 volt, then we say that the temperature is 1eV. Note that the average energy of a Maxwellian distri-bution is (3/2)KT, so a 1-eV plasma has average energy1.5 eV per particle. The conversion factor between de-grees and eV is

1 11 600eV K= °,

Fluorescent lights contain plasmas with Te ≈ 1−2eV. Aside from these we do not often encounter plasmasin everyday life, because the plasma state is not compati-ble with human life. Outside the earth in the ionosphereor outer space, however, almost everything is in theplasma state. In fact, what we see in the sky is visibleonly because plasmas emit light. Thus, the most obviousapplication of plasma science is in space science and as-trophysics. Here are some examples:

• Aurora borealis• Solar wind• Magnetospheres of earth and Jupiter• Solar corona and sunspots• Comet tails• Gaseous nebulae• Stellar interiors and atmospheres• Galactic arms• Quasars, pulsars, novas, and black holes

Plasma science began in the 1920s with experi-ments on gas discharges by such famous people as IrvingLangmuir. During World War II, plasma physicists werecalled upon to invent microwave tubes to generate radar.Plasma physics got it greatest impetus with the start ofresearch on controlled nuclear fusion in the 1950s. Thetask is to reproduce on earth the thermonuclear reactionsused by stars to generate their energy. This can be doneonly by containing a plasma of over 104 eV (108 K). Ifthis enterprise is successful, some say that it will be thegreatest achievement of man since the invention of fire,because it will provide our civilization with an infinitesource of energy, using only the heavy hydrogen thatexists naturally in our oceans.

Another use of plasmas is in generation of coher-ent radiation: microwave tubes, gas lasers, free-electronlasers, etc. Plasma-based particle accelerators are beingdeveloped for high energy physics. Intense X-ray

Page 9: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Introduction to Plasma Science 3

Gaseous nebulae are plasmas.

Plasmas at the birth of stars

Spiral galaxies are plasmas

sources using pulsed power technology simulate nuclearweapons effects. The National Ignition Facility is beingbuilt at Livermore for inertial confinement fusion. Fem-tosecond lasers can produce plasmas with such fast risetimes that very short chemical and biological events cannow be studied. Industrial plasmas, which are cooler,higher pressure, and more complex than those in the ap-plications listed above, are being used for hardening met-als, such as airplane turbine blades and automobile parts,for treating plastics for paint adhesion and reduced per-meation, for nitriding surfaces against corrosion andabrasion, for forming diamond coatings, and for manyother purposes. However, the application of plasma sci-ence that is increasingly affecting our everyday life isthat of semiconductor production. No fast computer chipcan be made without plasma processing, and the industryhas a large deficit of personnel trained in plasma science.

II. PLASMA FUNDAMENTALSPlasma physics has a reputation of being very dif-

ficult to understand, and this is probably true when com-pared with fluid dynamics or electromagnetics in dielec-tric media. The reason is twofold. First, being a chargedfluid, a plasmas particles interact with one another notjust by collisions, but by long-range electric and mag-netic fields. This is more complicated than treating thecharged particles one at a time, such as in an electronbeam, because the fields are modified by the plasma it-self, and plasma particles can move to shield one anotherfrom imposed electric fields. Second, most plasmas aretoo tenuous and hot to be considered continuous fluids,such as water (≈3 × 1022 cm-3) or air (≈3 × 1019 cm-3).With particle densities of 109-13 cm-3, plasmas do not al-ways behave like continuous fluids. The discrete natureof the ions and electrons makes a difference; this kind ofdetail is treated in the kinetic theory of plasmas. Fortu-nately, with a few exceptions, the fluid theory of plasmasis all that is required to understand the behavior of low-temperature industrial plasmas, and the quantum me-chanical effects of semiconducting solids also do notcome into play.

1. Quasineutrality and Debye lengthPlasmas are charged fluids (interpenetrating flu-

ids of ions and electrons) which obey Maxwells equa-tions, but in a complex way. The electric and magneticfields in the plasma control the particle orbits. At thesame time, the motions of the charged particles can formcharge bunches, which create electric fields, or currents,

Page 10: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A14

Plasma in a processing reactor (com-puter model, by M. Kushner)

A sheath separates a plasma fromwalls and large objects.

The plasma potential varies slowly inthe plasma but rapidly in the sheath.

which create magnetic fields. Thus, the particle motionsand the electromagnetic fields have to be solved for in aself-consistent way. One of Maxwells equations is Pois-sons equation:

( )i ee n nε∇ ⋅ = ∇ ⋅ = −D E . (1)

Normally, we use ε0 for ε, since the dielectric charges areexplicitly expressed on the right-hand side. For electro-static fields, E can be derived from a potential V:

E = −∇V , (2)

whereupon Eq. (1) becomes

∇ = −20V e n ne i( / )( )ε . (3)

This equation has a natural scale length for V to vary. Tosee this, let us replace ∇2 with 1/L2, where L is the lengthover which V varies. The ratio of the potential energy|eV| of an electron in the electric field to its thermal en-ergy KTe is then approximately

22

0

( )e i

e e

n n eeV LKT KTε

−= . (4)

The natural length scale on the right, called the Debyelength, is defined by

λ εD

e

e

KTn e

=FHGIKJ

02

1 2/

(5)

In terms of λD, Eq. (4) becomes

2

2 1 i

e eD

neV LKT nλ

= −

. (6)

The left-hand side of this equation cannot be much largerthan 1, because if a large potential is imposed inside theplasma, such as with a wire connected to a battery, acloud of charge will immediately build up around thewire to shield out the potential disturbance. When thevalues of ε0 and e are inserted, Eq. (5) has the value

λ µDe

e

T eVn

= −7 41018 3. ( )

( )mm (7)

Thus, λD is of order 50 µm for KTe = 4 eV and ne = 1017

m-3 or 1011 cm-3, a value on the high side for industrialplasmas and on the low side for fusion plasmas. In the

Page 11: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Introduction to Plasma Science 5

Sheaths form electric barriers forelectrons, reflecting most of them sothat they escape at the same rate asthe slower ions, keeping the plasma

quasineutral.

main body of the plasma, V would vary over a distancedepending on the size of the plasma. If we take L to beof order 10 cm, an average dimension for a laboratoryplasma, the factor (L/λD)2 is of order 108, so that ni mustbe equal to ne within one part in 108 to keep the LHS rea-sonably small. In the interior of a plasma, then, thecharge densities must be very nearly equal, and we maydefine a common density, called the plasma density n, tobe either ni or ne. However, there are regions, calledsheaths, where L is the order of λD; there, the ratio ni /ne does not have to be near unity.

The condition ni ≈ ne is called quasineutrality andis probably the most important characteristic of a plasma.Charged particles will always find a way to move toshield out large potentials and maintain equal densities ofthe positive and negative species. We have implicitlyassumed that the ions are singly charged. If the ionshave a charge Z, the condition of quasineutrality is sim-ply ni = Zne. Note that one hardly ever has a whole cubicmeter of plasma, at least on the earth; consequently den-sities are often expressed in cm-3 rather than the MKSunit m-3.

If L is of the order of the Debye length, then Eq.(6) tells us that the quasineutrality condition can be vio-lated. This is what happens near the walls around aplasma and near objects, such as probes, inserted into theplasma. Adjacent to the surface, a sheath of thicknessabout 5λD, forms, in which the ions outnumber the elec-trons, and a strong electric field is created. The potentialof the wall is negative relative to the plasma, so thatelectrons are repelled by a Coulomb barrier. This is nec-essary because electrons move much faster than ions andwould escape from the plasma and leave it positivelycharged (rather than quasineutral) unless they were re-pelled by this sheath drop. We see from Eq. (3) thatV(r) would have the right curvature only if ni > ne; thatis, if the sheath is ion-rich. Thus the plasma potentialtends to be positive relative to the walls or to any electri-cally isolated object, such as a large piece of dust or afloating probe. Sheaths are important in industrial plas-mas, and we shall study them in more detail later.

2. Plasma frequency and acoustic velocity

Waves are small, repetitive motions in a continu-ous medium. In air, we are accustomed to having soundwaves and electromagnetic (radio) waves. In water, wehave sound waves and, well, water waves. In a plasma,we have electromagnetic waves and two kinds of sound

Page 12: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A16

A plasma oscillation: displaced elec-trons oscillate around fixed ions. Thewave does not necessarily propagate.

An ion acoustic wave: ions and elec-trons move together in a propagating

compressional wave.

waves, one for each charge species. Of course, if theplasma is partially ionized, the neutrals can have theirown sound waves. The sound waves in the electron fluidare called plasma waves or plasma oscillations. Thesehave a very high characteristic frequency, usually in themicrowave range. Imagine that a bunch of electrons aredisplaced from their normal positions. They will leavebehind a bunch of positively charged ions, which willdraw the electrons back. In the absence of collisions, theelectrons will move back, overshoot their initial posi-tions, and continue to oscillate back and forth. This mo-tion is so fast that the ions cannot move on that timescale and can be considered stationary. The oscillationfrequency, denoted by ωp, is given by

ωεpne

m≡FHGIKJ

2

0

1 2/

rad / sec (8)

In frequency units, this gives approximately

f np = −9 1012 3( )cm GHz (9)

This is called the plasma frequency, and it depends onlyon the plasma density.

The sound wave in the ion fluid behaves quitedifferently. It has a characteristic velocity rather than acharacteristic frequency, and the frequency, of course, ismuch lower. The physical difference is that, as the ionsare displaced from their equilibrium positions, the moremobile electrons can move with them to shield out theircharges. However, the shielding is not perfect becausethe electron have thermal motions which are random andallow a small electric field to leak out of the Debyecloud. These ion acoustic waves, or simply ion waves,propagate with the ion acoustic velocity or ion soundspeed cs:

c KT Ms e≡ / /b g1 2 (10)

where capital M is the ion mass. Note that cs depends onTe, not Ti, as in air, because the deviation from perfectDebye shielding depends on Te. There is actually a smallcorrection ∝ Ti which we have neglected because Ti isnormally << Te in partially ionized plasmas. The hybridratio Te /M permits ion sound waves to exist even whenthe ions are cold.

Page 13: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Introduction to Plasma Science 7

Electrons and ions gyrate in oppositedirections with different size orbits.

erL

guiding center

The E × B drift

3. Larmor radius and cyclotron frequencyIf the plasma is imbedded in a DC magnetic field

(B-field), many more types of wave motions are possiblethan those given in the previous section. This is becausethe B-field affects the motions of the charged particlesand makes the plasma an anisotropic medium, with a pre-ferred direction along B. As long as the ion or electronof charge q is moving, it feels a Lorentz force qv × B,which is perpendicular to the both the velocity and thefield. This force has no effect on the velocity componentparallel to B, but in the perpendicular plane it forces theparticle to gyrate in a cyclotron orbit. The frequency ofthis circular motion, the cyclotron frequency ωc, is inde-pendent of velocity and depends only on the charge-to-mass ratio:

| | /c qB mω = , or / 2 2.8 MHz/Gc cf ω π= ≈ (11)

The radius of the circle of gyration, called Larmor radiusor gyroradius rL, however, does depend on velocity. Ifv⊥ is the velocity component in the plane perpendicularto B, a particle completes an orbit of length 2πrL in atime 2π/ωc, so v⊥ = rLωc, or

r vL c= ⊥ / ω (12)

Since ωc ∝ 1/M while v⊥ ∝ 1/M1/2, rL tends to be smallerfor electrons than for ions by the square root of the massratio. In processing plasmas that have magnetic fields,the fields are usually of the order of several hundredgauss (1G = 10−4 Tesla), in which case heavy ions suchas Cl are not much affected by B, while electrons arestrongly constrained to move along B, while gyratingrapidly in small circles in the perpendicular plane. In thiscase, if is often possible to neglect the small gyroradiusand treat only the motion of the center of the orbit, calledthe guiding center. Note that ions and electrons gyrate inopposite directions. An easy way to remember the di-rection is to consider the moving charge as a current,taking into account the sign of the charge. This currentgenerates a magnetic field in a direction given by theright-hand rule, and the current must always be in a di-rection so as to generate a magnetic field opposing thebackground magnetic field.

4. E × B drift

In magnetic fields so strong that both ions and elec-trons have Larmor radii much smaller than the plasma

Page 14: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A18

The sheath potential can have theproper curvature only if ni > ne there.

n

xs

x

ne = ni = n

PLASMA

SHEATH

ni

ne

+

ns

PRESHEATH

Only in the sheath can quasineutralitybe violated.

radius, the particles guiding centers drift across B in re-sponse to applied electric fields E⊥ (the component per-pendicular to B). This drift speed is given by

2/Ev B= ×E B . (13)

The velocity parallel to B is, of course, unaffected by E⊥.Note that vE is perpendicular to both E and B and is thesame for ions and electrons. If E is not constant acrossan ion Larmor diameter, the ions feel an average E-fieldand tend to drift somewhat more slowly than the elec-trons. At fields of a few hundred gauss, as is common inplasma processing, heavy ions such as argon or chlorinemay strike the wall before completing a Larmor orbit,especially if they have been accelerated to an energy>>KTi by E⊥. In that case, one has a hybrid situation inwhich the ions are basically unmagnetized, while theelectrons are strongly magnetized and follow Eq. (13).

5. Sheaths and presheaths We come now to the details of how a sheath isformed. Let there be a wall at x = 0, with a plasma ex-tending a large distance to the right (x > 0). At x = s wedraw an imaginary plane which we can call the sheathedge. From our discussion of Debye shielding, we wouldexpect s to be of the order of λD (actually, it is more like5λD).. Outside the sheath (x ≥ s), quasineutrality requiresni ≈ ne. Let the plasma potential there be defined as V =0. Inside the sheath, we can have an imbalance ofcharges. The potential in the sheath must be negative inorder to repel electrons, and this means that V(x) musthave negative curvature.. From the one-dimensionalform of Eq. (3), we see that ni must be larger than ne.Now, if the electrons are Maxwellian, their density in apotential hill will be exponentially smaller:

ne / ns = exp(eV/KTe), (14)

where ns is the density at the sheath edge. To calculatethe ion density, consider that the ions flowing toward thewall are accelerated by the sheaths E-field and are notreflected, so the ion flux is constant. We may neglect Ti ,but for reasons that will become clear, we have to assumethat the ions enter the sheath with a finite velocity vs.The equation of continuity is then

nivi = nsvs (15)

Conservation of energy gives

½ ½Mv eV Mvi s2 2+ = (16)

The last two equations give

Page 15: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Introduction to Plasma Science 9

V

x

PLASMA

SHEATH1

SHEATH2

+-

+-

If the sheaths drops are unequal, theelectron fluxes will be unequal, but

they must add up to the total ion flux(which is the same to both sides).

1/ 22

1

1 2 /

i

ss

nn eV Mv

= −

(17)

The sheath condition ni > ne has to hold even for smallvalues of |V|, just inside the sheath. In that case, we canexpand Eqs. (14) and (17) in Taylor series to obtain

nn

eVKT

nn

eVMv

e

s e

i

s s= + + = + +1 1 2... , ... (18)

Since V is negative, the condition ni > ne then becomes

e VMv

e VKTs e

| | | |2 < (19)

The sheath condition is then

v KT M cs e s> =( / ) /1 2 (20)

This is called the Bohm sheath criterion and states thations must stream into the sheath with a velocity at leastas large as the acoustic velocity in order for a sheath ofthe right shape to form. Such a Debye sheath is alsocalled an ion sheath, since it has a net positive charge.

The obvious question now is: How can the ionsget such a large directed velocity, which is much largerthan their thermal energies? There must be a smallelectric field in the quasineutral region of the main bodyof the plasma that accelerates ions to an energy of at least½KTe toward the sheath edge. Such an E-field can existonly by virtue of non-ideal effects: collisions, ionization,or other sources of particles or momentum. This regionis called the presheath, and it extends over distances ofthe order of the plasma dimensions. The pre-sheath fieldis weak enough that quasineutrality does not have to beviolated to create it. In reference to plasma processing,we see that ions naturally gain a directed velocity by thetime they strike the substrate, even if nothing is done toenhance the sheath drop. If a voltage is applied betweentwo walls or electrodes, there will still be an ion sheathon each wall, but the sheath drops will be unequal, so theelectron fluxes to each wall will be unequal even if theyhave the same area. However, the ion fluxes are thesame (= nsvs) to each wall, and the total electron fluxmust equal the total ion flux. Since more electrons arecollected at the more positive electrode than at the other,a current has to flow through the biasing power supply.

Page 16: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A110

If a presheath has to exist, the density ns at thesheath edge cannot be the same as the plasma density nin the body of the plasma. Since the ions have a velocitycs at the sheath edge, their energy ½Mcs

2 is ½KTe, andthere must be a potential drop of at least ½KTe betweenthe body of the plasma and the sheath edge. Let us nowset V = 0 inside the main plasma, so that V = Vs at thesheath edge. The electrons are still assumed to be in aMaxwellian distribution:

/0 eeV KT

en n e= . (21)

Since the integral of an exponential is still an exponen-tial, it is the property of a Maxwellian distribution that itremains a Maxwellian at the same temperature whenplaced in a retarding potential; only the density ischanged. There is only a small modification in the num-ber of electrons moving back from the sheath due to thefew electrons that are lost through the Coulomb barrier.Thus, Eq. (21) holds throughout the plasma, presheath,and sheath, regardless of whether there are collisions ornot. If eVs = −e|Vs| = −½KTe, then Eq. (21) tells us that

-1/20 0 00.6 ½sn n e n n= = ≈ . (22)

This is approximate, since there is no sharp dividing linebetween sheath and presheath. In the future we shall usethe simple relation ns ≈ ½n0, where n0 is the density in themain plasma.

In summary, a plasma can coexist with a materialboundary only if a thin sheath forms, isolating the plasmafrom the boundary. In the sheath there is a Coulombbarrier, or potential drop, of magnitude several timesKTe, which repels electrons from and accelerates ionstoward the wall. The sheath drop adjusts itself so that thefluxes of ions and electrons leaving the plasma are al-most exactly equal, so that quasineutrality is maintained.

Page 17: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Introduction to Gas Discharges 11

Definition of cross section

Diffusion is a random walk process.

Argon Momentum Transfer Cross Section

0.01

0.10

1.00

10.00

100.00

0.00 0.01 0.10 1.00 10.00 100.00Electron energy (eV)

Squ

are

angs

trom

s

Momentum transfer cross section forargon, showing the Ramsauer

minimum

PRINCIPLES OF PLASMA PROCESSINGCourse Notes: Prof. F.F. Chen

PART A2: INTRODUCTION TO GAS DISCHARGES

III. GAS DISCHARGE FUNDAMENTALS

1. Collision cross sections and mean free path (Chen,p.155ff)*

We consider first the collisions of ions and elec-trons with the neutral atoms in a partially ionized plasma;collisions between charged particles are more compli-cated and will be treated later. Since neutral atoms haveno external electric field, ions and electrons do not feelthe presence of a neutral until they come within anatomic radius of it. When an electron, say, collides witha neutral, it will bounce off it most of the time as if itwere a billiard ball. We can then assign to the atom aneffective cross sectional area, or momentum transfercross section, which means that, on the average, an elec-tron hitting such an area around the center of an atomwould have its (vector) momentum changed by a lot; alot being a change comparable to the size of the originalmomentum. The cross section that an electron sees de-pends on its energy, so in general a cross section σ de-pends on the energy, or, on average, the temperature ofthe bombarding particles. Atoms are about 10−8 cm (1Angstrom) in radius, so atomic cross sections tend to bearound 10−16 cm2 (1 Å2) in magnitude. People often ex-press cross sections in units of πa0

2 = 0.88 × 10−16 cm2,where a0 is the radius of the hydrogen atom.

At high energies, cross sections tend to decreasewith energy, varying as 1/v, where v is the velocity of theincoming particle. This is because the electron goes pastthe atom so fast that there is not enough time for theelectric field of the outermost electrons of the atom tochange the momentum of the passing particle. At lowenergies, however, σ (v) can be more constant, or caneven go up with energy, depending on the details of howthe atomic fields are shaped. A famous case is the Ram-sauer cross section, occurring for noble gases like argon,which takes a deep dive around 1 eV. Electrons of suchlow energies can almost pass through a Ramsauer atomwithout knowing it is there.

* References are for further information if you need it.

Page 18: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A212

n

e

An elastic electron-neutral collision

+

n

An ion-neutral charge exchangecollision

Ions have somewhat higher cross sections withneutrals because the similarity in mass makes it easier forthe ion to exchange momentum with the neutral. Ionscolliding with neutrals of the same species, such as Clwith Cl+, have a special effect, called a charge exchangecollision. A ion passing close to an atom can pull off anouter electron from the atom, thus ionizing it. The ionthen becomes a fast neutral, while the neutral becomes aslow ion. There is no large momentum exchange, but thechange in identity makes it look like a huge collision inwhich the ion has lost most of its energy. Charge-exchange cross sections (σcx) can be as large as 100 πa0

2.

Unless one is dealing with a monoenergetic beamof electrons or ions, a much more useful quantity is thecollision probability <σv>, measured in cm3/sec, wherethe average is taken over a Maxwellian distribution attemperature KTe or KTi. The average rate at which eachelectron in that distribution makes a collision with anatom is then <σv> times the density of neutrals; thus, thecollision frequency is:

vc nnν σ= < > per sec. (1)

If the density of electrons is ne, the number of collisionsper cm3/sec is just

ve nn n σ< > cm-3 sec-1. (2)

The same rate holds for ion-neutral collisions if the ap-propriate ion value of <σv> is used. On average, a parti-cle makes a collision after traveling a distance λm, calledthe mean free path. Since distance is velocity times time,dividing v by Eq. (1) (before averaging) gives

λ σm nn= 1/ . (3)

This is actually the mean free path for each velocity ofparticle, not the average mean free path for a Maxwelliandistribution.

2. Ionization and excitation cross sections (L & L,Chap. 3).

If the incoming particle has enough energy, it cando more than bounce off an atom; it can disturb the elec-trons orbiting the atom, making an inelastic collision.Sometimes only the outermost electron is kicked into ahigher energy level, leaving the atom in an excited state.The atom then decays spontaneously into a metastablestate or back to the ground level, emitting a photon of aparticular energy or wavelength. There is an excitation

Page 19: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Introduction to Gas Discharges 13

+

e

-- -

-

h

Debye cloud

A 90° electron-ion collision

cross section for each such transition or each spectral linethat is characteristic of that atom. Electrons of higherenergy can knock an electron off the atom entirely, thusionizing it. As every freshman physics student knows, ittakes 13.6 eV to ionize a hydrogen atom; most other at-oms have ionization thresholds slightly higher than thisvalue. The frequency of ionization is related by Eq. (3)to the ionization cross section σion, which obviously iszero below the threshold energy Eion. It increases rapidlyabove Eion, then tapers off around 50 or 100 eV and thendecays at very high energies because the electrons zip byso fast that their force on the bound electrons is felt onlyfor a very short time. Since only a small number ofelectrons in the tail of a 4-eV distribution, say, haveenough energy to ionize, σion increases exponentiallywith KTe up to temperatures of 100 eV or so.

Double ionizations are extremely rare in a singlecollision, but a singly ionized atom can be ionized in an-other collision with an electron to become doubly ion-ized; for instance Ar+ → Ar++. Industrial plasmas areusually cool enough that almost all ions are only singlycharged. Some ions have an affinity for electrons andcan hold on to an extra one, becoming a negative ion.Cl− and the molecule SF6

− are common examples. Thereare electron attachment cross sections for this process,which occurs at very low electron temperatures.

3. Coulomb collisions; resistivity (Chen, p. 176ff).Now we consider collisions between charged

particles (Coulomb collisions). We can give a physicaldescription of the action and then the formulas that willbe useful, but the derivation of these formulas is beyondour scope. When an electron collides with an ion, it feelsthe electric field of the positive ion from a distance and isgradually pulled toward it. Conversely, an electron canfeel the repelling field of another electron when it ismany atomic radii away. These particles are basicallypoint charges, so they do not actually collide; they swingaround one another and change their trajectories. We candefine an effective cross section as πh2, where h is theimpact parameter (the distance the particle would missits target by if it went straight) for which the trajectory isdeflected by 90°. However, this is not the real cross sec-tion, because there is Debye shielding. A cloud of nega-tive charge is attracted around any positive charge andshields out the electric field so that it is much weaker atlarge distances than it would otherwise be. This Debyecloud has a thickness of order λD. The amount of poten-

Page 20: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A214

+

+

+

+

e

Electrons collide via numeroussmall-angle deflections.

+

+

+

+

e

Fast electrons hardly collide at all.

tial that can leak out of the Debye cloud is about ½KTe(see the discussion of presheath in Sec. II-5). Because ofthis shielding, incident particles suffer only a smallchange in trajectory most of the time. However, thereare many such small-angle collisions, and their cumula-tive effect is to make the effective cross section larger.This effect is difficult to calculate exactly, but fortunatelythe details make little difference. The 90° cross sectionis to be multiplied by a factor ln Λ, where Λ is the ratioλD/h. Since only the logarithm of Λ enters, one does nothave to evaluate Λ exactly; ln Λ can be approximatedby 10 in almost all situations we shall encounter. Theresulting approximate formulas for the electron-ion andelectron-electron collision frequencies are, respectively,

ν

νei cm eV

ee cm eV

n T

n T

≈ ×

≈ ×

2 9 10

58 10

6 3/2

6 3/2

. ln /

. ln /

Λ

Λ , (4)

where ncm is in cm−3, TeV is KTe in eV, and lnΛ ≈ 10.There are, of course, many other types of collisions, butthese formulas are all we need most of the time.

Note that these frequencies depend only on Te,because the ions slight motion during the collision canbe neglected. The factor n on the right is of course thedensity of the targets, but for singly charged ions the ionand electron densities are the same. Note also that thecollision frequency varies as KTe

−3/2, or on v−3. Forcharged particles, the collision rate decreases much fasterwith temperature than for neutral collisions. In hotplasmas, the particles collide so infrequently that we canconsider the plasma to be collisionless.

The resistivity of a piece of copper wire dependson how frequently the conduction electrons collide withthe copper ions as they try to move through them to carrythe current. Similarly, plasma has a resistivity related tothe collision rate νei above. The specific resistivity of aplasma is given by

η ν= m neei / 2 . (5)

Note that the factor n cancels out because νei ∝ n. Theplasma resistivity is independent of density. This isbecause the number of charge carriers increases withdensity, but so does the number of ions which slow themdown. In practical units, resistivity is given by

η|| . ln /= × −−52 10 5 3/2Z TeVΛ Ω m . (6)

Here we have generalized to ions of charge Z and haveadded a parallel sign to η in anticipation of the magnetic

Page 21: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Introduction to Gas Discharges 15field case.

4. Transition between neutral- and ion-dominatedelectron collisions

The behavior of a partially ionized plasma de-pends a great deal on the collisionality of the electrons.From the discussion above, we can compute their colli-sion rate against neutrals and ions. Collisions betweenelectrons themselves are not important here; these justredistribute the energies of the electrons so that they re-main in a Maxwellian distribution.

The collision rate between electrons and neutralsis given by

ν σen n enn v= < > , (7)

where the σ is the total cross section for e-n collisionsbut can be approximated by the elastic cross section,since the inelastic processes generally have smaller crosssections. The neutral density nn is related to the fill pres-sure nn0 of the gas. It is convenient to measure pressurein Torr or mTorr. A Torr of pressure supports the weightof a 1-mm high column of Hg, and atmospheric pressureis 760 Torr. A millitorr (mTorr) is also called a micronof pressure. Some people like to measure pressure inPascals, where 1 Pa = 7.510 mTorr, or about 7 times aslarge as a mTorr. At 20°C and pressure of p mTorr, theneutral density is

n pn ≈ × −33 1013 3. ( )mTorr cm . (8)

If this were all ionized, the plasma density would be ne =ni = n = nn0, but only for a monatomic gas like argon. Adiatomic gas like Cl2 would have n = 2nn0. Are e-i colli-sions as important as e-n collisions? To get a rough esti-mate of νen, we can take <σv> to be <σ><v>, σ to be≈10-16 cm2, and <v> to be the thermal velocity vth, de-fined by

1/ 2

1/ 2 7 1/ 2,

(2 / ) ,

(2 / ) 6 10 cm / secth

th e e eV

v KT m

v KT m T

= ≈ ×. (9)

We then have13 16 7 1/ 2

5 1/ 2

(3.3 10 ) (10 ) 6 10

2 10en eV

mTorr eV

p T

p T

ν −≈ × ×

≈ ×

i i. (10)

(This formula is an order-of-magnitude estimate and isnot to be used in exact calculations.) The electron-ion

Page 22: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A216

-

E

Conductivity is determined by the av-erage drift velocity u that an electrongets while colliding with neutrals or

ions. In a wire, the number of targetatoms is unrelated to the number ofcharge carriers, but in a plasma, theion and electron densities are equal.

collision frequency is given by Eq. (4):

ν ei eVn T≈ × −2 9 10 5 3/2. / . (11)

The ratio then givesνν

ei

eneV

np

T≈ × −1.5 10-10 2 . (12)

The crossover point, when this ratio is unity, occurs for adensity of

9 2 3mTorr6.9 10 cmcrit eVn p T −≈ × . (13)

For instance, if p = 3 mTorr and KTe = 3 eV, the cross-over density is ncrit = 1.9 × 1011 cm-3. Thus, High Den-sity Plasma (HDP) sources operating in the high 1011 tomid-1012 cm−3 range are controlled by electron-ion colli-sions, while older low-density sources such as the RIEoperating in the 1010 to mid-1011 cm−3 range are con-trolled by electron-neutral collisions. The worst case isin between, when both types of collisions have to betaken into account.

5. Mobility, diffusion, ambipolar diffusion (Chen,p.155ff)

Now that we know the collision rates, we can seehow they affect the motions of the plasma particles. Ifwe apply an electric field E (V/m) to a plasma, electronswill move in the −E direction and carry a current. For afully ionized plasma, we have seen how to compute thespecific resistivity η. The current density is then givenby

j E= / η A / m2 (14)

In a weakly ionized gas, the electrons will come to asteady velocity as they lose energy in neutral collisionsbut regain it from the E-field between collisions. Thisaverage drift velocity is of course proportional to E, andthe constant of proportionality is called the mobilityµ, which is related to the collision frequency:

, /e ene mµ µ ν= − =u E . (15)

By e we always mean the magnitude of the elementarycharge. There is an analogous expression for ion mobil-ity, but the ions will not carry much current. The flux ofelectrons Γe and the corresponding current density aregiven by

,e e e e en enµ µΓ = − =E j E , (16)

Page 23: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Introduction to Gas Discharges 17

0 r aa

n(r)

∇n−Da∇n

Eambipolar

and similarly for ions. How do these E-fields get into theplasma when there is Debye shielding? If one applies avoltage to part of the wall or to an electrode inside theplasma, electrons will move so as to shield it out, but be-cause of the presheath effect a small electric field willalways leak out into the plasma. The presheath field canbe large only at high pressures. To apply larger E-fields,one can use inductive coupling, in which a time-varyingmagnetic field is imposed on the plasma by external an-tennas or coils, and this field induces an electric field byFaradays Law. Electron currents in the plasma will stilltry to shield out this induced field, but in a different way;magnetic fields can reduce this shielding. We shall dis-cuss this further under Plasma Sources.

The plasma density will usually be nonuniform,being high in the middle and tapering off toward thewalls. Each species will diffuse toward the wall; morespecifically, toward regions of lower density. The diffu-sion velocity is proportional to the density gradient ∇n,and the constant of proportionality is the diffusion coef-ficient D:

/ , /e e enD n n D KT mν= − ∇ =u , (17)

and similarly for the ions. The diffusion flux is thengiven by

Γ = − ∇D n . (18)

Note that D has dimensions of an area, and Γ is in unitsof number per square meter per second.

The sum of the fluxes toward the wall from mo-bility and diffusion is then

ΓΓ

e e e

i i i

n D nn D n

= − − ∇= + − ∇

µµ

EE

(19)

Note that the sign is different in the mobility term. Sinceµ and D are larger for electrons than for ions, Γe will belarger than Γi, and there will soon be a large charge im-balance. To stay quasi-neutral, an electric field will natu-rally arise so as to speed up the diffusion of ions and re-tard the diffusion of electrons. This field, called the am-bipolar field, exists in the body of the plasma where thecollisions occur, not in the sheath. To calculate this field,we set Γe = Γi and solve for E. Adding and subtractingthe equations in (19), we get

Γ Γ ΓΓ Γ

i e a i e i e

i e i e i e

n D D nn D D n

+ ≡ = − − + ∇− ≡ = + − − ∇

20

( ) ( )( ) ( )

µ µµ µ

EE

(20)

Page 24: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A218

B

n

n

Diffusion of an electron across amagnetic field

From these we can solve for the ambipolar flux Γa, ob-taining

Γai e e i

i ea

D D n D n= −++

∇ ≡ − ∇µ µ

µ µ. (21)

We see that diffusion with the self-generated E-field,called ambipolar diffusion, follows the usual diffusionlaw, Eq. (18), but with an ambipolar diffusion coefficientDa defined in Eq. (21). Since, from (15) and (17), µ andD are related by

µ = eD KT/ , (22)and µe is usually much greater than µi, Da is well ap-proximated by

1e ea i i

i i

T TD D DT T

≈ + ≈

, (23)

meaning that the loss of plasma to the walls is sloweddown to the loss rate of the slower species, modified bythe temperature ratio.

6. Magnetic field effects; magnetic buckets (Chen, p.176ff)

Diffusion of plasma in a magnetic field is com-plicated, because particle motion is anisotropic. If therewere no collisions and the cyclotron orbits were allsmaller than the dimensions of the container, ions andelectrons would not diffuse across B at all. They wouldjust spin in their Larmor orbits and move freely in the zdirection (the direction of B). But when they collidewith one another or with a neutral, their guiding centerscan get shifted, and then there can be cross-field diffu-sion. First, let us consider charged-neutral collisions.The transport coefficients D|| and µ|| along B are un-changed from Eqs. (15) and (17), but the coefficientsacross B are changed to the following:

DD

D KTm

em

c c c c

c c

⊥ ⊥=+

=+

= =

||

|| ||

( / ),

( / ),

,

1 12 2ω νµ

µ

ω ν

νµ

ν

||

(24)

Here νc is the collision frequency against neutrals, andwe have repeated the parallel definitions for conven-ience. It is understood that all these parameters dependon species, ions or electrons. If the ratio ωc/νc is small,the magnetic field has little effect. When it is large, theparticles are strongly magnetized. When ωc/νc is of order

Page 25: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Introduction to Gas Discharges 19

x

B

+

+

+

+

Like-particles collisions do not causediffusion, because the orbits after thecollision (dashed lines) have guiding

centers that are simply rotated.

x

B

-+

+-

Collisions between positive andnegative particles cause both guidingcenters to move in the same direction,

resulting in cross-field diffusion.

unity, we have the in-between case. If σ and KT are thesame, electrons have ωc/νc values √(M/m) times larger,and their Larmor radii are √(M/m) times smaller than forions (a factor of 271 for argon). So in B-fields of 100-1000 G, as one might have in processing machines, elec-trons would be strongly magnetized, and ions perhapsweakly magnetized or not magnetized at all. If ωc/νc islarge, the 1 in Eq. (24) can be neglected, and we seethat D⊥ ∝ νc, while D|| ∝ 1/νc. Thus, collisions impedediffusion along B but increases diffusion across B.

We now consider collisions between stronglymagnetized charged particles. It turns out that like-likecollisionsthat is, ion-ion or electron-electron collisionsdo not produce any appreciable diffusion. That is be-cause the two colliding particles have a center of mass,and all that happens in a collision is that the particlesshift around relative to the center of mass. The center ofmass itself doesnt go anywhere. This is the reason wedid not need to give the ion-ion collision frequency νii.However, when an electron and an ion collide with eachother, both their gyration centers move in the same di-rection. The reason for this can be traced back to the factthat the two particles gyrate in opposite directions. Socollisions between electrons and ions allow cross-fielddiffusion to occur. However, the cross-field mobility iszero, in the lowest approximation, because the vE driftsare equal. Consider what would happen if an ambipolarfield were to build up in the radial direction in a cylindri-cal plasma. An E-field across B cannot move guidingcenters along E, but only in the E × B direction (Sec. II-4). If ions and electrons were to diffuse at different ratestoward the wall, the resulting space charge would buildup a radial electric field of such a sign as to retard thefaster-diffusing species. But this E-field cannot slow upthose particles; it can only spin them in the azimuthaldirection. Then the plasma would spin faster and fasteruntil it blows up. Fortunately, this does not happen be-cause the ion and electron diffusion rates are the sameacross B in a fully ionized plasma. This is not a coinci-dence; it results from momentum conservation, therebeing no third species (neutrals) to take up the momen-tum. In summary, for a fully ionized plasma there is nocross-field mobility, and the cross-field diffusion coeffi-cient, the same for ions and electrons, is given by:

D n KT KTBc

i e⊥

⊥=+η ( )

2 . (25)

Here η⊥ is the transverse resistivity, which is about twice

Page 26: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A220

n+

e

If the ions are weakly magnetized,electrons-ion collisions can be treated

like electron-neutral collisions, butwith a different collision frequency.

Light emission excited by fast elec-trons shows the shape of the field

lines in a magnetic bucket.

as large at that given in Eq. (5).

Note that we have given the label c to D⊥,standing for classical. This is because electrons do notalways behave the way classical theory would predict; infact, they almost never do. Electrons are so mobile thatthey can find other ways to get across the magnetic field.For instance, they can generate bursts of plasma oscilla-tions, of such high frequency that one would not noticethem, to move themselves by means of the electric fieldsof the waves. Or they can go along the B-field to the endof the discharge and then adjust the sheath drop there soas to change the potential along that field line and changethe transverse electric fields in the plasma. This is one ofthe problems in controlled fusion; it has not yet beensolved. Fortunately, ions are so slow that they have nosuch anomalous behavior, and they can be dependedupon to move classically.

In processing plasmas that have a magnetic field,electrons are strongly magnetized, but ions are almostunmagnetized. What do we do then? For parallel diffu-sion, the formulas are not affected. For transverse diffu-sion, we can use D⊥e for electrons and D||i for ions, butthere in no rigorous theory for this. Plasma processing isso new that problems like this are still being researched.

Finally, we come to magnetic buckets, whichwere invented at UCLA and are used in some plasma re-actors. A magnetic bucket is a chamber in which thewalls are covered with a localized magnetic field existingonly near the surface. This field can be made with per-manent magnets held in an array outside the chamber,and it has the shape of a picket fence, or multiple cusps(Chen, cover illustration). The idea is that the plasma isfree to diffuse and make itself uniform inside the bucket,but when it tries to get out, it is impeded by the surfacefield. However, the surface field has leaks in it, and coolelectrons are collisional enough to get through theseleaks. One would not expect the fence to be very effec-tive against loss of the bulk electrons. However, theprimary electrons, the ones that have enough energy toionize, are less collisional and may be confined in thebucket. There has been no definitive experiment on this,but in some reactors magnetic buckets have been foundto confine plasmas better as they stream from the sourcetoward the wafer.

The following graphs provide cross section datafor the homework problems.

Page 27: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Introduction to Gas Discharges 21

Argon Momentum Transfer Cross Section

0.01

0.10

1.00

10.00

100.00

0.00 0.01 0.10 1.00 10.00 100.00Electron energy (eV)

Squ

are

angs

trom

s

0

4

8

12

16

0 20 40 60 80 100Electron energy (eV)

σ (1

0-16 c

m2 )

Elastic collision cross sectionelectrons on neutral argon

(numerical fit)

Page 28: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A222

0

2

4

6

8

0 2 4 6 8 10Te (eV)

n0< σ

v> (M

Hz) Ar

H2

HeNe

Collision frequency per mTorr

Argon Ionization Cross Section

0.0

0.1

1.0

10.0

10 100 1000Electron energy (eV)

σion

(10-1

6 cm

2 )

Page 29: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Introduction to Gas Discharges 23Ionization probability in argon

1E-32

1E-28

1E-24

1E-20

1E-16

1E-12

1E-08

1E-04

0 1 10 100KTe (eV)

<συ>

Ionization cross sections

0

1

2

3

4

5

6

7

0 20 40 60 80 100 120 140 160Electron energy (eV)

σ (1

0-16 c

m-2

) XeKrArNeHe

Page 30: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A224

0

2

4

6

8

10

12

14

15 20 25 30 35E (eV)

σ (1

0-18 c

m2 )

Excitation cross section for Argon 488 nm line

Page 31: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Sources I 25

.

A typical plasma reactorTypical density limits in plasma sources

1E+08 1E+09 1E+10 1E+11 1E+12 1E+13Electron density (per cc)

HELICO

ICP

ECR

RIE

Maximum useful density at wafer

level

Densities available in various types ofplasma reactors.

Coburns famous graph shows thatthe etch rate is greatly enhancedwhen a plasma is added. On left:only chemical etching. On right: onlyplasma sputtering.

0

1

2

3

4

5

-12 -8 -4 0 4 8 12r (cm)

n (1

010cm

-3)

900 W600 W300 W 5 mTorr, 1.9 MHz

Typical uniformity in a reactor.

PRINCIPLES OF PLASMA PROCESSINGCourse Notes: Prof. F.F. Chen

PART A3: PLASMA SOURCES I

IV. INTRODUCTION TO PLASMA SOURCES

1. Desirable characteristics of plasma processingsources

The ideal plasma generator would excel in all ofthe following characteristics, but some compromises arealways necessary. Advanced plasma tools are in produc-tion that satisfy these criteria quite well. What is impor-tant, however, is the combination of the tool and theprocess. For instance, etching SiO2 requires both asource and a procedure. The commercial product is of-ten not just the tool but the process, including the source,the settings, and the timing developed to perform a giventask.

• Etch rate. High etch rate normally requires highplasma density. Some experiments have shown that,more exactly, the etch rate is proportional to the ion en-ergy flux; that is, to the ion flux to the wafer times theaverage energy of the ions. High etch rate is especiallyimportant in the fabrication of MEMS (MicroElectroMe-chanical Systems), where large amounts of material hasto be removed.

• Uniformity. To process a wafer evenly from centerto edge requires a plasma that is uniform in density, tem-perature, and potential. Computer chips near the edge ofa wafer often suffer from substandard processing, re-sulting in a lower speed rating for those CPUs.• Anisotropy. To etch straight trench walls, the ionsmust impinge on the wafer at normal incidence; this iscalled anisotropy. To achieve this, the sheath edge mustbe planar all the way across the wafer.

• Selectivity. By this we mean the ability to etch onematerial faster than another. Polysilicon etches fasterthan SiO2. To etch SiO2 preferentially requires a fortui-tous series of events. There is always deposition of hy-drocarbon polymers during the etching process, and theseinhibit further etching. Both poly and oxide are covered,but the polymer layer is more easily removed from SiO2because of the oxygen that is released from SiO2. Thepolymer layer prevents further etching of the silicon. Acritical problem is the photoresist/polysilicon selectivity,which currently has a low value around 5. Increasing

Page 32: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A326

Anisotropy permits etching down-wards without going sideways. Thesedeep trenches actually require helpfrom polymers deposited on the sides.Source: Applied Materials.

PLASMA

++

+ ++ +

+

++

Photoresist

Substrate

Silicon or SiO2

ION

Cl atomSHEATH

Selectivity allows overetching withoutcutting into the next layer.

A 2D plot of plasma density showsuniform coverage of a large area.

Poly Si

Gate contact

Field oxideGate oxide

Polysilicon substrate

conduction channel

bird's beak

to source to drain

Plasma etching tends to build uplarge voltages across thin insulators,damaging them. This is a seriousproblem

this number would alleviate deformation of the maskduring processing. Because of these indirect effects, it isnot clear what properties of the plasma source controlselectivity. One hopes that by altering the electron ve-locity distribution f(v), one could change the chemicalprecursors in such a way as to control selectivity.

• Area coverage. The semiconductor industry startedwith Si wafers of 4-inch diameter, gradually increasing to6, 8, and 12 inches. Current production is based on 200-mm (8-inch) wafers, and the plan is to retool both ingotfactories and fabs for 300-mm wafers. More chips canbe produced at once with these large wafers, since thesize of each chipthe die sizeis kept relatively con-stant. Plasma sources have already been developed tocover 12-in wafers uniformly. The flat-panel display in-dustry, however, uses glass substrates as large as 600 by900 mm. Plasma sources of this size are now used fordeposition, but low-pressure sources for etching will beneeded in the future.• Low damage. Thin oxide layers are easily damagedduring plasma processing, and this is a serious problemfor the industry. Nonuniform sheath drops and magneticfields near the wafer have been shown to increase dam-age, but these problems are under control with currentplasma tools. Damage by energetic ion bombardmentand UV radiation are lesser effects compared with elec-tron shading. The latter occurs when ions but not elec-trons reach the bottom of a trench being etched, causing acharge buildup which drives current through the insulat-ing oxide layer. There has been considerable evidencethat low Te will minimize electron shading damage, butthe picture is far from clear.

• Adaptability. Since each process requires a differ-ent gas mixture, pressure, power level, etc., plasmasources should be able to operate under a variety of con-ditions. Newer plasma tools have more adjustable pa-

Page 33: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Sources I 27

A cluster tool like this has a centralload lock which shuffles wafers intodifferent plasma reactors for etching,deposition, or stripping. Source: BPS

This footprint is too large to beeconomical.

This footprint is unbearable.

rameters, such as magnetic field shape and independentpower sources, to make them more versatile.

• Reliability. In a factory, equipment failures causeexpensive delays. Simple design can lead to more reli-able plasma sources.• Small footprint. Compactness is an important at-tribute when hundreds of machines need to be housed ina fabrication facility.• Benign materials. To keep contamination down,very few materials are admissible in a plasma source.Since the wafer is silicon, Si walls are desirable. Often,glass or quartz, which are mostly Si, are used. Alumi-num and alumina are common wall materials. Plasmasources which require internal electrodes would intro-duce metals into the chamber.

2. Elements of a plasma sourceThere are four main subsystems to a plasma

source: the vacuum system, the gas handling system, thecooling system, and the discharge power source. Plas-mas that require a magnetic field would also need fieldcoils and their power supply.

Vacuum systemTo make a plasma, we must first create a vacuum.

Atmospheric pressure is 760 Torr, and operating pressurein a plasma reactor is generally between 1 mTorr and 100Torr. The base pressure, before the chamber is filledwith gas, has to be much lower than the operating pres-sure in order to keep down the partial pressure of con-taminants. Thus, base pressures are at least 10-5, andsometimes 10-6 (the Torr is understood). This is not usu-ally a problem. Ultra-high-vacuum (UHV) systems canget down to 10-10, but these are not generally needed.(High-energy accelerators can get down to below 10-20

Torr, approaching the vacuum of outer space.) The tur-bomolecular pump, or turbopump, is universally usednowadays. This has a multi-slotted fan blade that spinsat a high velocity, physically blowing the gas out of thevacuum chamber. The rotor has to be supported by avery good bearing, sometimes oil cooled, or by magneticsuspension. The speed is controlled by an electronic cir-cuit. Old pumps used oil or mercury vapor, which canget back into the chamber and contaminate it; but tur-bopumps are basically clean. The fan blade, however,cannot maintain the large pressure differential betweenhigh vacuum and atmospheric pressure; the air on one

Page 34: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A328

Because of their noise and exhaust,the forepump and roughing pump areusually put behind a wall, outside theclean room.

side would give so much drag that the blade could notspin at the required speed. So a turbopump has to bebacked up by a forepump, or backing pump. There aremany types of these, but they are all mechanical. Forinstance, a diaphragm pump moves a diaphragm backand forth and valves open and close to move the air fromone side to the other. To pump the corrosive gases usedin plasma processing, all the materials have to be chemi-cally inert, and these dry pumps are considerably moreexpensive. The forepump generally provides a pressure,called the forepressure, of 1 to 50 mTorr, and the tur-bopump can then maintain the differential between thispressure and the base pressure.

Gases are consumed in plasma processing, andlarge pumps are necessary to maintain a large flow rate.To maintain high conductivity, the pump is connected tothe plasma chamber through a short, large-diameter pipe.Between them there is usually a gate valve. The hosefrom the turbo pump to the forepump does not need to beso large and short, since it handles the gas flow at a muchhigher pressure. The noisy forepumps are usually lo-cated on the other side of a wall. To be able to keep theturbopump running while the chamber is let up to atmos-pheric pressure to make a change, it is useful to connectthe chamber to a roughing pump through a valve. Thiscan bring the chamber down to a pressure (≈50 mTorr) atwhich it is safe to open the gate valve to the turbopump.

Gas handling system. The mixture of gases to be used in a process isformed in a gas manifold, into which gases from differ-ent tanks are fed through flow regulators. All this iselectronically controlled. The gas mixture is then putinto the process chamber through a showerhead, which isa circular tube with many equally spaced holes in it thatdistribute the gas uniformly around the inside circumfer-ence of the chamber. The flow rate is measured in sccm(standard cubic centimeters per minute), which is thenumber of cc of gas at STP flowing through per minute.The pumping rate, or speed S, of a pump, however, ismeasured in liters per second, which is a measure of vol-ume, not amount of gas. Except at very high pressures, Sdoes not depend on the pressure, so the number of sccmthat a pump can remove depends on the operating pres-sure. In processes that consume a lot of gas, the flowrate must be high in order to keep the neutral pressure

TURBOPUMP

ROUGHINGPUMP

PROCESS CHAMBER

PLASMA

SUBSTRATESHUTOFF VALVE

ROUGHINGVALVE

FORE PUMP

ION

GAUGE

PIR

AN

I GA

UG

E

Page 35: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Sources I 29

POW ERAMPLIFIER

OSCILLATOR

MATCH BOX

PLASMA

ANTENNA

POW ER MONITORS

REFL. IN

COAXCABLE

SINGLE GROUND POINT

Elements of an RF power system.The frequency generator and poweramplifier are usually in one chassis,while the matching circuit and themeters that measure the input andreflected power are in anotherchassis. Autotune circuits sense theamount of reflected power andautomatically change the variablecapacitors to minimize it.

low. This is desirable, for instance, to keep the wafersheath collisionless so that the accelerated ions are notdeflected, or to keep dust particles from forming. There-fore, large turbopumps, with apertures of, say, 12 inches,and pumping speeds in the thousands of liters per second,can be found on plasma reactors. The gas handling sys-tem, with numerous inputs from tanks of gases, flowmeters and flow controls, and computer interface, can bea large part of the plasma system.

Cooling system.One of the disadvantages of plasma processing is

that a lot of heat is generated. Walls of the chamber areusually water-cooled. Antenna wires are made of coppertubes with water flowing through them. The most criti-cal cooling requirement is imposed by the wafer, whichhas to be maintained at a given temperature for eachprocess, and which tends to be heated severely by plasmabombardment. Helium is introduced to the back side ofthe wafer through holes in the chuck which holds it. Thisgas is made to flow under the wafer to keep it at a uni-form temperature. It is not necessary to create a spacefor the helium to flow; the underside of the wafer is usu-ally rough enough.

Discharge power system.To ionize and heat a plasma, electrical power is

applied either at a radiofrequency (RF) or at a microwavefrequency. The vast majority of sources use the industri-ally assigned frequency of 13.56 MHz. Some work at aharmonic or subharmonic of this, and some experimentalsources run at frequencies higher or lower than thisrange. Electron cyclotron resonance (ECR) sources aredriven at 2.45 GHz, the same as is used in microwaveovens.

RF sources are usually driven by a solid-statepower amplifier with a built-in oscillator to generate thesignal. The output into a 50-Ω cable is usually 2 kW orless. The cable then goes into a matching network, ormatchbox, which performs the important function oftransforming the impedance of the antenna-plasma sys-tem to the 50-Ω impedance of the rest of the circuit. Be-fore passing through the matching network, the powergoes through directional couplers which measure thepower flowing into the antenna and back from it. Thisreflection has to be kept low (< 1%) to protect the ampli-fier and to make best use of its power. The main ele-ments of the matching network are two (physically)

Page 36: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A330

An ECR source, with the resonancezone shown shaded (from L & L).

large, adjustable vacuum capacitors. The tuning is doneby varying the capacitances of these two elements. Sincethe RF current in the capacitors is displacement currentin vacuum, there is very little power loss in such a cir-cuit. Sometimes a variable inductor is used, consisting oftwo coils, one of which can be rotated to change themutual coupling. Industrial tools invariably have auto-match circuits, in which the tuning capacitors are auto-matically adjusted by motors driven by a circuit that de-tects the reflected power and tries to minimize it. Oncethe operating conditions of the plasma source are set, theautomatch circuit has no problem finding the minimumand keeping the system tuned as the plasma conditionschange. However, finding the vicinity of the correctmatch may be difficult initially. After the match circuit,the power is fed to the antenna through cables (severalmay be needed to carry the current) or a parallel trans-mission line. At this point there may be very high volt-ages, exceeding 1 kV. The length of the line affects thetuning conditions sensitively. In a capacitive discharge,the RF is connected directly to the internal electrodes. Inan inductive discharge, the power goes to an externalantenna, which is wound around the chamber in variousways depending on the type of source. In experimentalsystems there may be sensors to measure the RF voltageand current applied to the antenna.

ECR sources are driven by a magnetron providing2.45-GHz power, which is transmitted in a waveguide.A Magic T device serves the function of the matchingnetwork in RF systems. The waveguide then goes to ahorn antenna, which launches the microwave power intothe plasma through a window. This vacuum window is acritical element, since it has to be made of a materialsuch as quartz or ruby and tends to crack under highpower. It also can be coated by deposits from theplasma. Since an ECR source has to strike a cyclotronresonance, magnetic coils have to provide the resonantfield of 875 G somewhere in the plasma. Magnet coilsare usually water-cooled copper tubes wound with manyturns and held together by epoxy. They are driven by alow-voltage, high-current power supply such as thoseused for arc welding, only with better filtering.

Page 37: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Sources II 31

Gas inlet

Gas outlet

Main RF

He coolant

Chuck

Bias RF

Powered electrode

Wafer

Grounded electrode

PLASMA

SHEATH

SHEATH

Fig. 1. Schematic of a parallel-platecapacitive discharge, called a Reac-tive Ion Etcher (RIE)

PRINCIPLES OF PLASMA PROCESSINGCourse Notes: Prof. F.F. Chen

PART A4: PLASMA SOURCES II

V. RIE DISCHARGES (L & L, Chap 11, p. 327ff)

These simple devices, which were the staple ofthe industry until the mid-90s, consist of two flat, circularelectrodes, about 20 cm in diameter, separated by about10 cm. The wafer to be processed is mounted onto thebottom plate and held firmly by a chuck, which in-cludes connections for the helium coolant and for con-necting to a bias oscillator, which we will discuss later.To produce the plasma, RF power may be applied to ei-ther or both plates. The sidewalls may be of an insulat-ing material such as aluminum oxide, or a metal such asstainless steel, which can be grounded. For definitenessin what follows, we shall assume that the wafer-bearingplate is grounded and the upper plate oscillates at 13.56MHz. Gas is fed into the vacuum chamber, and the RFfield electric field causes the first few electrons (there arealways a few from cosmic rays or whatever) to oscillateand gain enough energy to ionize atoms. The electronsthus freed will also gain energy and cause further ioniza-tions. This electron avalanche quickly fills the chamberwith plasma, whose density and temperature depend onthe RF power applied and on the neutral pressure. Theplasma is isolated from the electrodes and the walls bysheaths, and the RF fields are subsequently coupled tothe plasma through the capacitances of the sheaths.These sheaths control the ion flux to the wafer, and it be-hooves us to examine them in some detail.

1. Debye sheath. Consider first the sheath on a grounded wafer

bounding a plasma that is not oscillating. Let the plasmapotential (space potential) be Vs and the wafer potentialbe Vw < Vs. From our discussion of presheaths in Eq.(A1-22), the plasma density ns at the sheath edge will beabout ½n. The ion flux through the sheath from theplasma to the wafer is given by

Γi s s s en c c KT M= =, ( / ) 1/2 (1)

The random flux of electrons entering the sheath is nvr,where vr = ¼ v , v being the average electron velocity inany direction (Chen, p. 228):

Page 38: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A432

V

x

PLASMA

Debyesheath

Child-Langmuir

sheath

Fig. 2 Artificial separation of thesheath into a Debye sheath (whichcontains electrons) and a Child-Langmuir sheath (which has ionsonly).

1/ 21 24 2

er

v KTvmπ

= =

. (2)

The flux of electrons getting through the sheath barrier tothe wafer is then

( ) /w s ee V V KTe rnv e −Γ = . (3)

Setting Γi = Γe and solving for the sheath drop, we obtain

ln2 2

ew s

KT mV Ve M

π − =

(4)

This amounts to 3.53TeV for hydrogen and 5.38TeV, orabout 5TeV, for argon. The Debye length for TeV = 5 andn = 1011 cm-3, say, is, from Eq. (A1-7),

57.4 52 m0.1Dλ µ= = .

The sheath thickness s can be obtained only by integra-tion, but it is of order 5λD; thus, in this case the Debyesheath is about 0.25 mm in thickness, and the sheath dropis about 5 × 5 = 25 V.

2. Child-Langmuir sheath.When a voltage is applied between the plates, the

sheath drop cannot be 25 V on both plates; at least one ofthem must have a much larger sheath drop to take up theRF potential of hundred of volts that is applied. Theselarge potential drops, much larger than KTe, occur in alayer called a Child-Langmuir sheath, that joinssmoothly onto the Debye sheath and extends all the wayto the wall. This differs from the Debye sheath becauseonly one charged species, in this case ions, exists in theC-L sheath, the electrons having almost all been turnedback before they reach it. Those that remain are so fewthat they contribute a negligible amount to the charge inthe C-L sheath. The current density j, voltage drop V0,and thickness d are related by the Child-Langmuir Lawof Space-Charge-Limited Diodes (Chen, p. 294, L & L,p. 165):

1/ 2 3 / 20 0

24 29

VejM d

ε =

(5)

Page 39: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Sources II 33

0.1

1

10

100

1000

1 10 100x / λD

-eV

/ KT e

C-LExact

Fig. 3. An exact calculation for aplane sheath shows that C-L scalingis not followed unless the sheath isvery thick (log-log scale).

We can equate this to the ion current density j = enscs andsolve for d; the result is:

1/ 2 3 / 22 0 04 2

9 eV s

Vde T n

ε =

. (6)

Multiplying and dividing by KTe to form a factor equalto λD

2 [Eq. (A1-5)], we can express d in terms of λD as:3 / 4

02 23 D

eV

VdT

λ

=

. (7)

This formula differs by √2 from standard treatments be-cause we have evaluated λD in the plasma proper, not atthe sheath edge, where the density is half as large. As anexample, let V0 = 400 V and KTe = 5 eV; this gives d =15λD, or about 0.8 mm for the example used above.Thus, the total sheath thickness s + d is about 20λD = 1mm. This is much larger than feature sizes on the chipbut much smaller than discharge dimensions. A densityof 1011 cm-3 is high for an RIE plasma, however; totalsheath thicknesses over 1 cm, an appreciable fraction ofthe discharge height, are often seen in RIE discharges atlower densities and higher temperatures. Note that dvaries as V0

3/4. This approximation is not really a goodone, as the exact solution (Fig. 3) for the combinedsheaths shows. The slope of 3/4 is followed only in verythick sheaths at very high potentials.

At the high pressures necessary to get highplasma densities, the collision mean free path of the ionscan be shorter than the sheath thickness. Ions can thenscatter in the sheath, thus making anisotropic etchingmore problematical.

3. Applying a DC biasConsider a parallel-plate system with plate A (the

wafer side) grounded. If plate B (the hot side) is also atzero potential, there will be identical sheaths of ~5KTeon each. For instance, if KTe = 2 V, Vs VB might be10V. If plate B is now made more positive, so that VB =5V, say, Vs VB must still be 10V, so Vs must rise to ≈15V. This is because if Vs VB were only 5V, moreelectrons would flow to plate B than ions, and the loss ofnegative charge would immediately raise Vs. On the otherhand, if plate B were to go negative, to −5V, say, Vs neednot change. Vs VB is now ≈ 15V, and the extra 5 voltsis taken up by a Child-Langmuir sheath, while Vs is

Page 40: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A434

V

0 0

A B

KTe = 2 eV

VB = 0

~10 V

V

0 0

A B

KTe = 2 eV

VB = -5 V

V

0

A B

KTe = 2 eV

VB = 5 V

0

Fig. 4. Illustrating the change inplasma potential when one electrodeis biased.

V

x

PLASM A

SHEATH1

SHEATH2

+

-

+

-

Fig. 5. Illustrating the slight differencein particle flows to asymmetricsheaths (from Part A1).

maintained at just below 10V by the sheath on plate A.Thus, the plasma potential always follows the potentialof the most positive electrode or section of the wall.With an RF power supply driving plate B with a sinusoi-dal voltage, Vs will follow the positive excursions butwill remain at the potential set by plate A during thenegative excursions of plate B. Meanwhile, plate A (thewafer) will have a constant sheath drop (10V in our ex-ample) when plate B is negative, but will have a largersheath drop with a C-L sheath whenever plate B is posi-tive. Thus, the time-averaged sheath drop will be largerin the presence of an RF drive, and the average ion willimpinge on the wafer with higher energy. Since the RFpower controls the plasma density also, the ion currentand energy for anisotropic etching cannot be controlledindependently in a single-frequency RIE discharge.

To make this more quantitative and extend thetreatment to asymmetric discharges, let the area of A beAA and that of B be AB. Thus, when these are equal, wehave two similar plates at the top and bottom of the dis-charge, and when AB << AA, we have a small plate whilethe rest of the enclosure may be grounded. For the pres-ent, we do not consider a grounded sidewall, whichwould form a third electrode.

Using Eqs. (1) and (3), we can equate the ion andelectron fluxes to both electrodes:

½ , ¼ vi s ernc nΓ = Γ = (8)( ) / ( ) /( ) ( )A s e B s ee V V KT e V V KT

A B i er A BA A A e A e− −+ Γ = Γ +(9)

where VA and VB are the potentials applied to the twoelectrodes. We can simplify this equation by setting VA= 0 on the larger electrode and defining the followingdimensionless quantities:

½½ , , 1¼ 2

s B

e A

nc m eV Anv M KT A

πε η δ ≡ = ≡ ≡ <

. (10)

Dividing by AA , we obtain

( )(1 ) s B se eη η ηδ ε δ− −+ = + .

The last term is valid only if ηB < ηs, since the electronflux cannot exceed the saturation value Γe. If ηB > ηs,exp(ηB − ηs ) is replaced by 1. Thus, we have two cases:

for ηB < ηs, we have

Page 41: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Sources II 35

B

A

δ << 1

B

A

δ = 1

B

A

δ < 1

Fig. 6. Capacitive discharge withasymmetric electrodes.

1(1 ) (1 ), ln(1 )

Bs B s

ee eη

η η δδ ε δ η

δ ε− +

+ = + = + (11)

and for ηB > ηs, we have

(1 ) , ln[(1 ) ]s se ηδ ε δ η δ ε δ−+ = + = − + − . (12)

Since the argument of the logarithm has to be positive,the latter case cannot occur unless

,1

δε δ δ ε

δ> ≈ <

+. (13)

This means that B cannot draw saturation electron cur-rent unless the area ratio is less than ε, which is 0.0046for argon. If AB is that small (very unlikely), B is effec-tively a wall probe, and we shall use probe theory to de-scribe it. For the normal case, Eq. (11) is valid, and Vswill follow positive excursions in VB.

When no bias is applied (VB = 0), Eq. (11) re-duces to

01ln 5.38s

s se

eVKT

η ηε

= = = =

for argon, (14)

as we saw following Eq. (4). This is the normal sheathdrop. When δ = 1, Eq. (11) becomes

B0 ln[½(1+e )]s sηη η= + . (15)

As expected, this shows that the Vs is close to Vso whenVB is negative and approximately follows VB when VB ispositive.

4. Applying an RF bias

One cannot apply a DC bias to a wafer, since atleast some of the layers deposited on the wafer are insu-lating. However, it is possible to impose a time-averagedDC bias with RF. At RF frequencies, the ions are toomassive to follow the fluctuations and will flow to eachwall with the same flux Γi. The electrons respond so fastthat they can maintain a Maxwellian distribution at everyphase of the RF. Thus, the sheath at each phase of theRF will be the same as a DC sheath at the instantaneousvoltage of the electrode. If we assume a sinusoidal os-cillation of VB with an amplitude BV

!,

sin , sinB B B BV V t tω η η ω= =! ! , (16)

Eq. (11) gives the instantaneous plasma potential as

Page 42: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A436

-120

0

120

0 90 180 270 360ω t

V

VsVB<Vs-VB>Vso

VB = 100 V peak, Te = 3eV, equal areas

Fig. 7. The sheath drop and its dcaverage when the electrode voltage

varies sinusoidally.

-120

0

120

0 90 180 270 360ω t

VB

-1.0

-0.5

0.0

0.5

1.0

ΓeB / Γ

tot

Voltage on BFractional current to B

VB = 100 V peak, Te = 3eV, equal areas

Fig. 8. The instantaneous electroncurrent to the powered electrode.

0.0

0.5

1.0

0 90 180 270 360ω t

ΓeB

/ Γto

t

1.00.10.01

Area ratio

Fractional electron flux for various area ratios

VB = 100 V peak, Te = 3eV

Fig. 9. The area ratio makes littledifference in the current...

0

60

120

0 90 180 270 360ω t

V s

1.00.10.01

AB/AA

Sheath drop for different area ratios

VB = 100 V peak, Te = 3eV

Fig. 10. ...or the sheath drop.

1 exp( sin )ln(1 )

Bs

tδ η ωη

δ ε +

= +

!. (17)

The behavior of Vs during one RF cycle is shown in Fig.7 for δ = 1 (equal areas) and BV

! = 100 V. As expected,

the space potential rises with VB when it goes positive,but remains around Vs0 when VB goes negative. Theaverage space potential <Vs> is then higher than Vs0.Since <VB> = <VA> = 0, the average sheath drop on B is

s B s B s s AV V V V V V V< − > = < > − < > = < > = < − > (18)

This is the accelerating potential seen by the ions and isthe same on both electrodes. Thus, the ion energyimpinging on the wafer is increased when RF power isapplied to electrode B to strike the discharge. In thiscase the increase is from 16V to 46V. One can say thatthe sheath rectifies the RF, increasing the negative biason the wafer relative to the plasma. In RIE discharges,the density of the plasma can be increased only byincreasing the power applied, and this necessarilyincreases the ion energy. Though one cannot reduce theion energy, one can increase it by applying anotheroscillator to the wafer electrode A. This is called an RFbias oscillator. It can be at the same frequency as thedischarge power or at another (usually lower) frequency.

We next consider the electron current to anelectrode during an oscillation. The electron flux to B is

exp( )eB B er B sA η ηΓ = Γ − (19)

The fractional current, normalized to the total ion currentof Eq. (9), is then

1 sin11 (1 ) 11 1

sB

B BB

eB erB

tot A B i

t

A e eA A

e ee

ηη

η η ωη

δ δ εδ

δ ε δ

−−−

Γ Γ=

Γ + Γ

+ = = + + +

(20)

where we have used Eq. (17) for exp(−ηs). This is shownin Eq. (8) for δ = 1. We see that the current flows onlyon the positive half-cycle of VB but flows during thatentire half cycle because we have set VB >> KTe/e. Thispicture is not changed appreciably even if we made B asmall electrode, as Figs. 9 and 11 show. Indeed, δ makeslittle difference in either the instantaneous sheath drop orthe average sheath drop, as shown by calculations usingEqs. (17) and (18).

Page 43: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Sources II 37

0

100

200

0 100 200 300 400 500Peak voltage on B

Ave

rage

she

ath

drop

(V) 1.0

0.010.0001

Area ratio

DC sheath drop vs. bias voltage for various size electrodes

Fig. 11. Sheath drop vs. RF voltagefor various area ratios.

VA V

Vs h

a

Fig. 12. A triode RIE reactor withindividually biased wafers and walls.

CB

CA

RFRp

VsB

VsA

Cp Lp

PLASMA

RB

RA

Fig. 13. Electrical representation ofthe sheath-plasma system

A real RIE reactor, of course, has walls inaddition to the top and bottom electrodes. If thesidewalls are insulators, no net current can flow to them,and therefore their surfaces must charge up to anoscillating voltage that follows Vs, keeping the sheathdrop at Vs0. This oscillation may couple capacitivelythrough the sidewalls to ground, in which case the wallsare not completely insulating−−they may be AC-grounded. If the sidewalls are grounded conductors, theymust be treated as a third electrode. Now when differentRF voltages and frequencies are applied to A and B, thetotal electron and ion fluxes must be set equal to eachother when summed over all three conductors. The spacepotential will oscillate with both frequencies and the beatbetween them. The calculation is a trivial extension ofwhat we have done here so far.

5. Displacement currentUp to now we have considered the plasma to be a

perfect conductor, so that the sheath voltage Vs is thesame on all boundaries. Actually, there is an appreciableresistivity to a low-temperature, weakly ionized plasma,and we must consider the plasma and the sheaths to bepart of an electric circuit. The plasma can be representedby a resistance Rp and an inductance Lp in parallel with acapacitance Cp. Rp is due to electron collisions withneutrals and ions as they drift to carry the RF current.The effect of collisions with neutrals is given by themobility formula given in Eq. (A2-15), and that with ionsby Eq. (A2-6). Lp is due to the relative inertia of the ionsand electrons, which causes them to respond differentlyto an AC field; this effect is negligibly small. Cp is thecoupling from one plate to the other via displacmentcurrent; this is in parallel because that current would floweven if the plasma had infinite resistance. Since theplates are so far apart, this capacitance is also negligible.For the moment, let us assume Rp = 0 as before, so thatwe can concentrate on the displacement current. VsA andVsB are the sheath drops on each electrode, RA and RB thenonlinear conduction currents through the sheath, and CAand CB the sheath capacitances. Each sheath of area Ahas a capacitance

0 / , Debye C LC A d d s dε −= = + , (21)

and C will oscillate as d oscillates. The sheathimpedance is Z = 1/jωC, and for a voltage V across thesheath, the displacement current Id is given by

Page 44: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A438

0/ /dI V Z j CV j V A dω ω ε= = = . (22)

This RF current has to pass through both sheaths, so wehave

( ) ( )0 0/ /d A sA A B sB BI j A V d j A V dωε ωε= = , (23)

where VsA is the sheath drop on sheath A, etc. Assumingthe sheath thicknesses to be about the same on average,we have

sB A

sA B

V AV A

= . (24)

Thus, there is a voltage divider action which depends onδ. In an asymmetric discharge, the smaller electrode seesmore of the applied RF voltage than the larger electrode,so the average sheath drop is larger on the smallerelectrode. This effect on the ion energy to the wafer ismuch larger than the δ-effect from sheath rectification,which is also in the opposite direction. To see themagnitude of the displacement current, we can compareit with the (electron) conduction current, which onaverage is of the order of the ion current Ii = ½neAcs.Thus,

02 2 1d

i s cm

I f VI d n ec n

π ε 1210= ≈ . (25)

Here we have taken f = 13.56 MHz, V ≈ 400 V, d ≈ 1mm, and KTe ≈ 5 eV in argon. This ratio is unity when n= 1012 cm-3. In RIE tools, n is much smaller than this, sothat the displacement current is dominant. The powerfrom the RF source is coupled to the plasma through thesheath capacitances and drives the plasma current,carried by electrons, through the plasma, resistivelyheating and ionizing it. The sheath conduction currentsdiscussed in the previous section play only a small rôle inthe power balance, though they are responsible for therectification effect that produces anisotropic ions.

A more rigorous treatment of the electricalcharacteristics of the RIE discharge would require acircuit analysis of the equivalent circuit including boththe conduction and displacement currents through thesheath. The fact that the conduction currents are notsinusoidal would produce harmonics of the RFfrequency. If a bias oscillator is of different frequency isimposed on the wafer, there will also be beat frequencies.To prevent the two oscillators from loading each other, abandstop filter can be put on each RF supply to preventthe frequency of the other supply from reaching it. The

Page 45: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Sources II 39

0

120

0 90 180 270 360ω t (degrees)

E i (V

)

∆t

∆E

Vs0

< Ei >

Vpeak = 100 V

Fig. 14. Ions hitting the wafer havean energy depending on the sheathdrop at the time. The number of ionsat each energy depends on the timethat sheath drop lasts; that is, on thereciprocal of the slope of this curve.At higher frequencies, ion transit timehas to be taken into account.

displacement current can heat the wafer, increasing thecooling requirements, even though it does not help ingiving the wafer a dc bias. If the bias power is large, itcan heat the plasma and change its density andtemperature.

6. Ion dynamics in the sheathWith the sheath drop Vs - Vw swinging positive

every other half cycle, electron flux to the wafer willcome in pulses. One might think that the ion flux willalso come in pulses. This is not always true: it dependson the frequency. We consider two extremes. At highfrequency, the ions move so slowly in response to the RFthat they drift more or less smoothly in the averagesheath field rather than the instantaneous one. To seethis, we can calculate the ion motion. Let the sheath dropbe represented by a sinusoidal voltage of 400V peak-to-peak, concentrated in a layer about 1 mm thick. Theequation of motion of an ion is then

0( / ) cosM d dt e e tω= =v E E . (26)

Here E0 has the value (200/10−3) = 2 × 105 V/m. Inte-grating twice over a half cycle, we obtain

[ ]

0

2002

sin ,

cos 1.3 10 cm

ed tdt Me t

ωω

ωω

= =

= − → ×

Exv

Ex (27)

Thus, the ions only jiggle by 0.1 mm at the RF frequencyand are accelerated mainly by the time-averaged sheathfield.

The ions do not all strike the wafer with the sameenergy, however, since they have been accelerated dif-ferent amounts depending on the phase of the RF atwhich they enter and leave the sheath. Moreover, the ionenergy distribution is bi-modal, meaning that it has twopeaks with a valley in between. To see how this comesabout, consider the second extreme in which the fre-quency is so low that sheath drop does not change whilethe ions traverse it. Let the discharge be symmetric, sothat δ = 1. Eq. (17) then can be written

( ) sin1 12

tse eη η ω

ε= + , (28)

where η is the peak normalized potential applied to either

Page 46: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A440electrode, the sheath drops being the same but oppositein phase on each electrode. Since we are neglecting thetransit time, the ion energy Ei at any time is just thesheath drop eVs = ηsKTe at that time. Assuming that theions enter the sheath at a constant rate, the number ofions with energy Ei would be proportional to the time Vsremains at the corresponding value. Thus, on the graphof Vs vs. ωt (Fig. 7), which we reproduce in Fig. 14 on anexpanded scale, the number of ions with energy betweenEi and Ei + ∆Ei is proportional to ∆t/∆Vs, or inverselyproportional to the slope of the curve Vs(ωt).

To simplify the writing, we introduce the abbre-viations

/ , ,i e sE E KT t aη θ ω η≡ = ≡ ≡ . (29)

Now we can write

( )( )/

d t Af E AdE dE dω

θ= = , (30)

where A is a normalization constant. Eq. (28) can bewritten in these variables and differentiated:

( )sin

sin

1 12

1 cos2

E a

E a

e e

e dE e a d

θ

θ

ε

θ θε

= +

=(31)

Substituting for eE, we have

sin

sin sincos cos

1 1

a

a aae d a ddE

e e

θ

θ θ

θ θ θ θ−= =

+ + . (32)

Hence,sin1( ) , ( )

cos

aef E A Ea

θ

θ θθ

−+= = . (33)

From Eq. (31), we find

sin2 1 sin ln(2 1)E a Ee e a eθε θ ε− = ∴ = − . (34)

Since the argument of the logarithm must be ≥ 0, there isa minimum value of E given by

min ,min1/ 2 , ln(1/ 2 ) , ln(1/ 2 )Ei ee E E KTε ε ε> = = .

(35)

The denominator of Eq. (33) can be found as a functionof E by using Eq. (34):

Page 47: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Sources II 41

0.00

0.01

0.02

0.03

0.04

0.05

0 20 40 60 80 100 120 140Ei = eVs (eV)

f (E

i)

Emin Emax

(a)

(b)

Fig. 15. Bimodal ion energydistribution (a) calculated from asimple model, and (b) measuredexperimentally. [Mizutani et. al.,JVSTA 19, 1298 (2001)]

Fig. 16. The IEDF is narrower if theRF sheath oscillates during the ions

transit time.

2 2 2 2cos 1 sin 1 [ln(2 1)]Ea eθ θ ε−= − = − − . (36)

Hence, finally,

1/ 22 2

1/ 22 2

112 1( )

[ln(2 1)]

2

(2 1) [ln(2 1)]

E

E

E

E E

ef E Aa e

eAe a e

εε

ε

ε ε

+−=

− −

=− − −

(37)

There is also a maximum value of E given by the van-ishing of the term in curly brackets:

ln(2 1) , 2 1E E ae a e eε ε− = = +

max1ln

2

aeEε

+=

. (38)

It would be difficult to integrate Eq. (37) to find the nor-malization constant A, but there is an easier way. FromEq. (30), we have

2

2

1 ( ) df E dE A dE A d AdE

π

π

θθ π

= = = =∫ ∫ ∫ . (39)

Hence A = 1/π, and the ion distribution function at thewafer is

1/ 22 2

1 2 /(2 1)( ) [ln(2 1)]

E E

E

e ef Ee

ε επ η ε

−=

− −, (40)

where E = Ei / KTe, η is the peak RF drive voltage nor-malized to KTe, and ε is essentially the square root of themass ratio.

The behavior of f(E) can be seen as follows.This function diverges at Emin and Emax. For small E nearEmin, (2εeE 1) is small, and f(E) varies as 1/(2εeE 1).For E near Emax, we may neglect the 1s and ln(2ε).Then

2 2 2 2

1 1( ) 1 ( / )i

f EE E Vη

∝ =− −

.

The actual f(E) will be smoother than the simpli-fied one shown here, since the ions enter the sheath witha finite temperature and will also oscillate during theirtransit through a thick sheath. One would expect that the

Page 48: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A442

Fig. 17 Collisionless sheath heatingmechanism

ions would have the energy of the DC sheath drop,spread out by the sheath oscillation during their last jig-gle. Thus, f(E) will be relatively narrower but still bi-modal. The ion flux that provides directionality to theetching process is therefore not completely controllable;the ions cannot all have the same energy. In laboratoryexperiments it has been shown that changing the bias os-cillators waveform to a sawtooth-like wave can producea more uniform f(E), but this has not been shown to bebeneficial in practice.

To get an idea of when the analytic solution ofEq. (40) is valid, consider the ion excursion calculated inEq. (27). If we set x equal to the sheath thickness d andsolve for ω, we obtain ω ≈ 3 × 108 sec-1, or f ≈ 50 MHz.Thus, unless f << 50 MHz, the spread in Ei will besmaller than what we have computed neglecting the iontransit time. This has been confirmed by both computa-tion and experiment.

7. Other effects in RIE reactorsStochastic heating. In most discharges, including theRIE, the plasma is maintained by ohmic heating; that is,the electron current is driven through the plasma by theapplied electric field, and the electrons gain energy fromthe electric field between collisions. As they collide, theelectron energy distribution function fe(E) (called EEDFin this industry) rapidly thermalizes into a Maxwellianbut with a slight excess of high-energy electrons. Thisdistortion of the EEDF is due to the fact that high energyelectrons have a smaller collision cross section, as wehave seen in Part A2. With or without this distortion, thesmall number of high-energy electrons in the tail of theEEDF is responsible for ionizing collisions that maintainthe population of charged particles against diffusion tothe walls. If it were not for the collisions, the electronswould simply oscillate back and forth as the RF fieldchanged sign and lose as much energy as they gained.The collisions scatter the electrons so that they are mov-ing in a different direction when the RF field reverses,and therefore do not lose exactly the same amount of en-ergy that they gained. This scattering is responsible forthe conversion of RF energy into plasma energy and thefor the resistivity of the plasma and its ohmic heating.

There is another randomizing mechanism besidescollisions with ions or neutrals, however. Electrons cancollide with sheaths. Most electrons are reflected by thesheath field when they approach a wall or electrode,gaining as much energy on reflection as they lost upon

Page 49: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Sources II 43

Fig. 18. A low-Te bi-Maxwellian EEDFfound in high-pressure RIEs [Godyaket al., Phys. Rev. Lett. 65, 996(1990)].

incidence. If the sheath field changes in the meantime,however, the gain and loss may not cancel out. Someelectrons, namely those that enter the sheath when thefield is low and leave the sheath when the field is high,will have a net gain in energy. Others will have a netloss, but those that gain energy are important becausethey contribute to the tail of the Maxwellian. A fewelectrons will have such a velocity that, as they travelback and forth between the electrodes, they will arrive ateach sheath just at the right phase and experience re-peated accelerations. Such collisionless sheath heatinghas been treated extensively in theory and computation,but there has been little experimental evidence of its im-portance. Perhaps this effect contributes to the fact thatRIE reactors tend to have higher electron temperaturesthan other tools.

High pressure dischargesAt the opposite extreme, RIE discharges can be

run at high pressure (100−500 mTorr), where the colli-sion mean free path is so short that many collisions occurwithin the sheath. Such reactors are used, for instance,in some SiO2 etchers and in very large-area depositionmachines for coating amorphous silicon onto glass sub-strates for flat-panel displays. The gap in such devicescan be only 1−2 cm, and the sheaths can occupy most ofthe gap, leaving only a small quasineutral region. TheEEDF there has been found to contain a population ofvery low energy electronsless than 1 eV. The electronheating mechanism is quite different from that in low-pressure discharges. Most of the electrons gain energy inthe sheaths, where the electric fields can be large. By thetime they diffuse to the quasineutral region, they havelost most of their energy by colliding with neutrals andions, giving rise to the low-Te component.

Gas flow and dust formationSince capacitive discharges are less efficient ion-

izers than other plasma sources that we shall discuss,higher pressure is needed to obtain a given plasma den-sity. This is fine for deposition but is deleterious foretching, because collisions in the sheath can spoil theanisotropy. In deposition especially, a large amount ofgas is consumed, so that the neutral gas must flowthrough the chamber at a high rate. The collisions of thegas with ions will push the ion population in the directionof the flow. This effect, which is negligible in low-pressure discharges, must be taken into account in cal-

Page 50: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A444

Fig. 19. Dust particles suspendedabove three wafers and illuminated bya scanning laser beam [G.S. Selwyn,Plasma Sources Sci. Technol. 3, 340(1994)].

culating the steady-state equilibrium of RIE discharges.This fact is dramatically illustrated by the observation ofdust particles.

The nucleation of solid particles in a processingplasma from molecules produced in etching or depositionprocesses occurs by a mechanism that is not yet com-pletely understood. These particles start with sub-micron, perhaps nanometer, sizes and grow to diametersof 1 micron or even greater. When they reach macro-scopic sizes, dust particles can be seen by sweeping alaser beam across them. A sheath builds up on each par-ticle larger than λD to equalize the ion and electron fluxesto it, and the particle thus becomes negatively charged,containing the order of 104 electron charges. This chargeQ can easily be computed, since the capacitance of theparticle is given by CV = Q, where V is the same sheathdrop that we calculated previously for electrodes andwalls. Since the particles are negative, they will accu-mulate at local maxima of the potential, if there are any.Otherwise, they can find a stable position above the wa-fer where the repulsion of the sheath field is balanced bythe downward pressure exerted by the gas flow. Bymaking grooves in the substrate or inserting dielectric orother materials under it, it is possible to create a path ofmaximum potential which will lead the dust out of thedischarge, but these methods are probably not practical inlarge-scale production. When the discharge is turned off,the negative dust is drawn onto the wafer by the collaps-ing sheath field, thus destroying any circuits that theyland on. Note that putting the wafer on the upper elec-trode will not help, since gravity is much weaker than theelectric fields involved. Particulate generation in RIEdischarges is a serious problem that lowers the yield ofviable chips on a wafer. This problem is not as serious inlow-pressure discharges, where dust formation is muchless likely.

The GEC reference cellRIE discharges were developed by trial and error

without a good understanding of their operation. WhenRIE tools were dominant in the industry before the1990s, many experiments were made to elucidate themechanisms in these sources such as those we have dis-cussed here. However, each machine was different, andit was difficult to draw any universal conclusions. Theparticipants in the Gaseous Electronics Conference(GEC) at which many of these results were presented de-cided to design a standard configuration that everyone

Page 51: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Sources II 45could duplicate for their experiments. Thus, the dimen-sions, materials, and mechanical and electrical design ofthis small machine (for processing 4" wafers) were speci-fied. This GEC reference cell served to make observa-tions of one group relevant to those of other groups.When inductive plasma sources were introduced, how-ever, they differed from one another qualitatively, and,moreover, their designs were closely guarded secrets.There is no standard configuration for the more advancedplasma tools, and their development is pursued inde-pendently by each company.

8. Disadvantages of RIE reactorsSince the RF power controls both the plasma den-

sity and the oscillations in Vs, the ion flux to the wafercannot be varied independently of the ion energy. Ca-pacitive discharges tend to have larger RF electric fields,and hence the minimum ion energy is relatively high, asis the spread in ion energies. Being less efficient in ioni-zation than inductive discharges, RIE discharges producelower plasma densities and require higher operating pres-sures. It is then more difficult to avoid ion collisions inthe sheath and the formation of particulates. The elec-tron temperature tends to be higher than in inductive dis-charges, and this could lead to a larger heat load on thewafer as well as other deleterious effects such as oxidedamage. There is no obvious way to control the EEDFso as to adjust the populations of the chemical radicals atthe wafer level. In spite of these disadvantages, RIE re-actors are still best for certain processes such as PECVD(plasma enhanced chemical vapor deposition) where feedgas can be distributed evenly over a large area by cover-ing one electrode with holes. Contrary to common per-ception, some RIE discharges are found to cause lessoxide damage than inductive devices. These dinosaursare still alive and still incompletely understood. Andthey have the advantage of being small and simple.

9. Modified RIE devicesIn addition to applying different RF powers and

frequencies to the two electrodes and introducing aground electrode, more substantive changes have beenmade to RIE reactors to improve their performance. Themost popular of these is the MERIE: Magnetically En-hanced RIE. A magnetic field B is applied to the dis-charge parallel to the wafer surface. This reduces thediffusion losses of plasma in the directions perpendicularto B and enhances the density. The flux of electrons into

Page 52: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A446the sheath is also reduced, requiring a smaller Coulombbarrier, and thus reducing the fluctuation amplitude ofthe sheath voltage. However, it has been found that sucha B-field increases damage to thin oxide layers, an effectattributed to accumulation of charge via the E × B driftsof the electron guiding centers. The plasma as a wholecan become nonuniform because of these drifts. Toavoid this, the direction of the magnetic field can rotatedslowly in the azimuthal direction by using two sets offield coils separated by 90° and driven 90° out of phase.

Another modification is to insert, half-way be-tween the electrodes, a grounded plate with many smallholes drilled in it. The discharge then tends to break upinto numerous small discharges, one in each hole. Thesedischarges are intense and can produce a high densityeven after diffusing into a uniform plasma at the waferlevel. The plate also serves to isolate the RF fields pro-duced by the primary RF drive and the bias oscillator.This device is confusingly called a hollow cathode dis-charge, presumably because real hollow cathode dis-charges have a source of electrons on axis and a ring orcylindrical anode (the positive electrode in a DC dis-charge) surrounding it.

Gas inlet

Gas outlet

RF in

He coolant

Chuck

RF in

Electrode

W afer

Plasma

Plate with holes

Hollow cathodedischarges

Fig. 20. A hollow cathode parallel-platecapacitive discharge

Page 53: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Sources III 47

Fig. 1. Mechanism of electron cyclo-tron heating

Fig. 2. Various microwave modepatterns and ways to couple to them.

Fig. 3. The shaded region is the reso-nance zone (L & L, p. 429).

PRINCIPLES OF PLASMA PROCESSINGCourse Notes: Prof. F.F. Chen

PART A5: PLASMA SOURCES III

VI. ECR SOURCES (L & L, Chap. 13, p. 412)

ECR discharges require a magnetic field such thatthe electrons cyclotron frequency is in resonance withthe applied microwave frequency, usually 2.45 GHz.Both the large magnetic field of 875G and the micro-wave waveguide plumbing make these reactors morecomplicated and expensive than RIE reactors. Unlessone uses tricky methods that depend on nonuniformmagnetic fields and densities, microwaves cannot pene-trate into a plasma if ωp > ω. Ατ 2.45 GHz, that meansthat the maximum density that can be produced, in prin-ciple, is 100 × (2.45/9)2 = 7.4 × 1010 cm-3 [Eq. (A1-9)].However, this does not hold in the near-field of thelaunching device, usually a horn antenna or a loop or slotcoupler. Densities of order 1012 cm-3 have been pro-duced in ECR reactors because the free-space wave-length of 2.45-GHz radiation is 12.2 cm, and the interiorof a 10 cm diam plasma is still within the near-field.This is discussed in more detail later. In cyclotron heating, electrons gyrate around the B-field at ωc; and if the microwave field also rotates at thisfrequency, an electron will be pushed forward continu-ously, gaining energy rapidly. Those electrons movingin the wrong direction will be decelerated by the field,but will eventually be turned around and be acceleratedin phase with the field. Though resonant electrons gainenergy only in their cyclotron motion perpendicular to B,they collide rapidly with other electrons and, first, be-come isotropic in their velocity distribution and, second,transfer their energy to heat the entire electron popula-tion. Since a thermal electron can lose only its smallthermal energy while an electron in the right phase cangain 100s of eV of energy while it is in resonance, thereis a net gain of energy by the distribution as a whole. Atvery low pressures, electrons can gain 1000s of eV andbecome dangerous, generating harmful X-rays when theystrike the wall. Fortunately, there are two mitigatingfactors besides collisions: electrons do not stay in theresonance zone very long because of their thermal ve-locities, and microwave sources tend to be incoherent,putting out short bursts of radiation with changing phaseinstead of one continuous wave. Actual ECR reactors in production have nonuniform

Page 54: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A548

Fig. 4. In this case, a second coil canproduce more resonance zones.

Fig. 5. This experimental device hasan annular resonance zone.

(a)

Fig. 6. Dispersion curves for (a) theO-wave, (b) the L and R waves, and

(c) the X-wave.

magnetic fields, so that B cannot be 875G everywhere.In a diverging magnetic field, there are resonance zones,usually shaped like a shallow dish, in which the field isat the resonance value. Electrons are heated when theypass through this zone, and the time spent there deter-mines the amount of heating. Note that the microwavefield does not have to be circularly polarized. A plane-polarized wave can be decomposed into the sum of aright- and a left-hand polarized wave. An electron seesthe left-polarized component as a field at 2ωc and is notheated by it; the right-hand component does the heating. A microwave signal at exactly ωc will not travel, orpropagate, through the plasma. This is because electro-magnetic (e.m.) waves are affected by the charges andcurrents in the plasma and therefore behave differentlythan in vacuum or in a solid dielectric. The relation be-tween the frequency of a wave and its wavelength λ iscall its dispersion relation. For an e.m. wave such aslight, microwave, or laser beam, the dispersion relation is

2 2 2 2pc k ω ω= −

or 22 2 2

2 2 201 pc c k

ωεε ω ω

= = = − , (1)

where k ≡ 2π / λ. In Part A1 we have already encoun-tered the dispersion relations for two electrostatic waves:the plasma oscillation (ω = ωp, which does not depend onk) and the ion acoustic wave (ω = kcs, which is linear ink). The dispersion curve for Eq. (1) is shown in Fig. 6(a)[from Chen, p. 128]. We see that if ω < ωp (the shadedregion), the wave cannot propagate. Its amplitude fallsexponentially away from the exciter and is confined to askin depth. It is evanescent. We will need this conceptlater for RF sources. This behavior is specified by Eq.(1), since k2 becomes negative for ω < ωp. Note that forω > ωp the waves travel faster than light! This is OK,since the group velocity will be less than c, and thatswhat counts.

The dispersion curve becomes more complicatedwhen there is a dc magnetic field B0. For waves travel-ing along B0, the dispersion relation is

22 2

2 211

1

p

c

c k ωωω ωω

= −∓

. (2)

Here we have two cases: the upper sign is for right-hand

Page 55: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Sources III 49

(b)

(c)

Fig. 7. A slotted-waveguide ECRsource.

circularly polarized (R) waves, and the bottom one forleft (L) polarization. These are shown in (b). Of interestis the R-wave, which can resonate with the electrons (thesolid line). At ωc, the curve crosses the axis; the phasevelocity is zero. As the wave comes in from the low-density edge of the plasma, it sees an increasing n, andthe point marked by ωR shifts to the right. For conven-ience consider the diagram to be fixed and the wave tocome in from the right, which is equivalent. After thewave passes ωR, it goes into a forbidden zone where k2 isnegative. The resonance zone is inaccessible from theoutside. The problem is still there if the wave propagatesacross B0 into the plasma. This is now called an ex-traordinary wave, or X-wave, whose diagram is shown in(c). Here, also, the wave encounters a forbidden zonebefore it can reach cyclotron resonance.

Fortunately, there are tricks to avoid the problemof inaccessibility: shaping the magnetic field, makingmagnetic beaches, and so on. ECR reactors can oper-ate in the near-field; that is, within the skin depth, so thatthe field can penetrate far enough. Though ECR sourcesare not in the majority, they are used for special applica-tions, such as oxide etching. There are many other in-dustrial applications, however. For instance, there areECR sources made for diamond deposition which leakmicrowaves through slots in waveguides and create mul-tiple resonance zones with permanent magnet arrays, asshown in Fig. 7. By choosing magnets of differentstrengths, the resonance zone can be moved up or down.These linear ECR sources can be arrayed to cover a largearea; as in Fig. 7 and 8. There are also microwave sources which use sur-face excitation instead of cyclotron resonance. For in-stance, the so-called surfatron sources shown in Fig. 9has an annular cavity with a plunger than can be movedto tune the cavity to resonance. The microwaves are thenleaked into the cylindrical plasma column to ionize it.The plasma is then directed axially onto a substrate.

VII. INDUCTIVELY COUPLED PLASMAS (ICPs)

1. Overview of ICPsInductively Coupled Plasmas are so called be-

cause the RF electric field is induced in the plasma by anexternal antenna. ICPs have two main advantages: 1) no

Page 56: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A550

Fig. 8. A large-area ECR source

Fig. 9. A surfatron ECR source

0z (cm )

17

(a)Fig. 11. A commercial ICP mountedon top of an experimental chamber

(Plasma-Therm)

internal electrodes are needed as in capacitively coupledsystems, and 2) no dc magnetic field is required as inECR reactors. These benefits make ICPs probably themost common of plasma tools. These devices come inmany different configurations, categorized in Fig. 10.

Symmetric ICP

TCP

Helical Resonator DPS

Fig. 10. Different types of ICPs.

In the simplest form, the antenna consists of one or sev-eral turns of water-cooled tubing wrapped around a ce-ramic cylinder, which forms the sidewall of the plasmachamber. Fig. 2 shows two commercial reactor of thistype. The spiral coil acts like an electromagnet, creatingan RF magnetic field inside the chamber. This field, inturn generates an RF electric field by Faradays Law:

∇ × = − ≡E B Bd dt/ " , (3)

B-dot being a term we will use to refer to the RF mag-netic field. This field is perpendicular to the antenna cur-rent, but the E-field is more or less parallel to the antennacurrent and opposite to it. Thus, with a slinky-shapedantenna, the E-field in the plasma would be in the azi-muthal direction.

Rather than apply the RF current at one end of theantenna and take it out at the other, one can design ahelical resonator, which is a coil with an electricallength that resonates with the drive frequency. The an-tenna then is a tank circuit, and applying the RF at onepoint will make the current oscillate back and forth fromone end of the antenna to the other at its natural fre-quency. Such a resonant ICP is shown in Fig. 13.

Page 57: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Sources III 51

Fig. 12. A similar ICP by Prototech.

Fig. 13. Diagram and photo of a heli-cal resonator (Prototech).

Fig. 14. Diagram of a TCP and a simulation by M. Kushner(U. Illinois, Urbana).

Another configuration called the TCP (TransformerCoupled Plasma) uses a top-mounted antenna in theshape of a flat coil, like the heating element on an elec-tric stove. This design is meant to put RF energy into thecenter of the plasma, near the axis. Fig. 14 shows a dia-gram of a Lam Research TCP together with a computersimulation of its plasma. This will be discussed furtherlater. If one combines an azimuthal winding with a spiralwinding, the result is a dome-shaped antenna, which isused by Applied Materials in their DPS (DetachedPlasma Source) reactors (Figs. 15, 16). These sourcesare farther removed from the wafers, allowing the plasmato diffuse and become more uniform. In addition to inductive coupling, there can also becapacitive coupling, since a voltage must be applied atleast to one end of the antenna to drive the RF currentthrough it. Since this voltage is not uniformly distrib-uted, as it is in a plane-parallel capacitive discharge, itcan cause an asymmetry in the plasma density. On theother hand, this voltage can help to break down theplasma, creating enough density for inductive couplingto take hold.

Page 58: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A552

(a)

(b)

Fig. 15. A DPS ICP reactor (AppliedMaterials).

Fig. 17. Diagram of a Faraday shield

Fig. 16. Parts of a DPS reactor (Applied Materials).

Some machines shield out the capacitive couplingby inserting a Faraday shield between the antenna andthe chamber. Such a shield is simply a thin sheet ofmetal which can be grounded. However, slits must becut in the shield to allow the inductive field to penetrateit. These slits are perpendicular to the direction of thecurrent flow in the antenna; then induced currents in theshield that would otherwise create a B-dot field opposingthat of the antenna would be unable to flow withoutjumping across a gap. Such a shield is particularly im-portant in helical resonators, since the standing wave inthe antenna can cause very large voltages to develop. AFaraday shield can be seen in Fig. 13, and a diagram of itis shown in Fig. 17. Another essential feature is theelectrostatic chuck, or ESC, which can be seen in Fig.15. This uses electric charges to hold the wafer flat andwill be discussed later. Antennas do not present much resistance to thepower supply, but when the plasma is created, the RFenergy is absorbed, and this appears as a resistance to thepower supply. Currents in the plasma induce a back-emfinto the antenna circuit, making the power supply workharder, and this extra work appears as plasma heat. Thepart of the back-emf that is in phase with the antennacurrent appears as an added antenna resistance, and thepart that is 90° out of phase appears as added or reducedinductance. Normally, the plasma density is low enoughthat the currents in the plasma are too small to affect theinductance much. Since most power supplies must bematched to a 50-Ω load, a matchbox or tuning circuit isneeded to transform the impedance of the antenna to50Ω, even under changing plasma loads. This will be

Page 59: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Sources III 53

x

x

x

x

x

Jo

J

B

skin wallantenna

Fig. 18. Illustrating the currents in theantenna and in the skin layer.

discussed quantitatively later.

2. Normal skin depthJust as a plasma can distribute its charges so as

to shield out an applied voltage, it can also generate cur-rents to shield out an applied magnetic field. In Eq. (1)we saw that electromagnetic waves cannot propagatethrough a plasma if ω < ωp. More precisely, let the e.m.wave vary as cos[i(kx − ωt)] = Reexp[i(kx − ωt)]. (Wenormally use exponential notation, in which the Re isunderstood and is omitted.) If k is imaginary, as it is inICPs, in which ω << ωp, the wave will vary as

Im( ) cosk xe tω− as it propagates in the x direction. Theevanescent wave does not oscillate but decays exponen-tially upon entering the plasma. In the case of mostICPs, f = 13.56 MHz while ωp is measured in GHz, sothat ω << ωp, and Eq. (1) can be approximated by k2 =−ωp

2/c2, and Im(k) = ωp/c. The wave then varies asexp(−x/δs − iωt], where the characteristic decay length,called the exponentiation distance or e-folding length, is1/Im(k). This decay length is defined as the skin depthδs:

δ δ ωs c pc= ≡ / . (4)

The quantity δc is called the collisionless skin depth andis the same as δs here because we have so far neglectedcollisions. Note that δc depends on n½; the current layerdoing the shielding can be thinner if the plasma is dense.The diagram shows that as the current J in the antennaincreases, a B-field is induced in the plasma, and this inturn drives a shielding current in the opposite direction.This current decays away from the wall with an e-foldingdistance ds.

Using Eq. (A1-9) for fp, we find that δc for a 1012

cm-3 plasma is of order 0.5 cm. We would expect thatvery little RF power will get past the skin layer and reachthe center of the plasma, perhaps 10 cm away. This isthe reason stove-top antennas were invented. However,it is found, amazingly, that even antennas of the first typein Fig. 10 can produce uniform densities across thewhole diameter. This is one of the mysteries of RFsources and has given this field the reputation of being ablack art. A possible explanation will be given later.

ICPs, however, are not collisionless, and onemight think that the skin depth would be increased bycollisions. To account for collisions of frequency νc, weneed merely replace Eq. (1) with

Page 60: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A554

0

1

10

100

0 1 10 100 1000n (1011 cm-3)

ds (c

m)

1003010531

p (mTorr)Argon, 2 MHz, Te = 3 eV

(a)

0

5

10

15

20

1 10 100p (mTorr)

ds (c

m)

654321

Te (eV)n = 1011 cm-3, f = 2 MHz

(b)

Fig. 19. (a) Classical skin depth vs.density at various neutral pressures.(b) Effect of argon pressure on skindepth at various electron tempera-tures.

c ki

p

c

2 2

2

2

ωω ω ν

= −+( )

. (5)

The complex denominator makes it hard to see how theskin depth is changed, but we can look for an approxi-mation. Since ω ≈ 9 × 107 sec-1, νen = 3 × 1013<σv>en

p(mTorr), σ ≈ 5 × 10−16 cm2, and v ≈ 108 cm/sec (forKTe ≈ 4 eV), we find that νc < ω for p < 60 mTorr. If νc

<< ω, we can expand the denominator in a Taylor series,obtaining

12 2 2 2 21 1c c

p pi ic k ν νω ω ωω ω

− ≈ − + ≈ − −

.

Here we have taken ωp2 >> ω2, which is well satisfied.

Taking the square root by Taylor expansion, we nowhave

21

21 , | Im( ) | 1 O2

p c cs c

ik i kc

ω ν νδ δω ω

− ≈ ± − = ≈ + .

We see that the real part of k has acquired a small valueas a result of collisions, but the imaginary part is un-changed to first order in νc/ω unless our assumption of νc

<< ω breaks down. At the mTorr pressures that ICPsoperate at, the skin depth is quite well approximated byδc.

With a computer, it is easy to solve Eq. (5) usingthe collision data given in Part A2, and some results areshown in Fig. 19. These were computed for f = 2 MHz,used by some ICPs, rather than the more usual 13.56MHz, in order to increase ν /ω and bring out the effect ofcollisions more clearly. We see in (a) that ds decreaseswith √n as expected, but it hits a lower limit imposed bycollisions. In (b), we see that the increase of ds withpressure depends on Te, which affects νc = nn <σv>.

3. Anomalous skin depthIn plasma physics, classical treatments like the

above are often doomed to failure, since plasmas aretricky and more often than not are found experimentallyto disobey the simple laws of electromagnetics. Theycan do this by deviating from strict Maxwelliandistributions and generating internal currents and chargesthat are not included in simple formulations. However,in this case, observations show that Eq. (5) is correct . . .up to a point. Fig. 20 shows data taken in the ICP of Fig.11 with a magnetic probe measuring the RF magnetic

Page 61: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Sources III 55

0.01

0.1

1

10

100

-15 -10 -5 0 5 10 15R (cm)

|Bz|

(mV)

51020Calc

p (mTorr)

(a)

0

1

2

3

0 5 10 15r (cm)

n,

KTe,

|Bz

|

8

12

16

20

Vs (V

olts

)nKTeBzVs

(b)

Fig. 20. (a) Decay of the RF fieldexcited by a loop antenna. (b)Profiles of n (1011 cm-3), KTe (eV),plasma potential Vs (V), and Bz(arbitrary units) in an ICP discharge in10 mTorr of argon, with Prf = 300W at2 MHz.

Fig. 21. Monte Carlo calculation of aelectron trajectory in an RF field with(blue) and without (black) the Lorentzforce. The dotted line marks the col-lisional skin depth.

field Bz. In the outer 10 cm of the cylinder, the semilogplot shows |Bz| decreasing exponentially away from thewall with a scalelength corresponding to ds. (The exactsolution here is a Bessel function because of thecylindrical geometry.) However, as the wave reaches theaxis, it goes through a null and a phase reversal, as if itwere a standing wave. This behavior is entirelyunexpected of an evanescent wave, and suchobservations gave rise to many theoretical papers onwhat is called anomalous skin depth. Fast, ionizingelectrons are created in the skin layer, where the E-fieldis strong. These velocities, however, are along the walland do not shoot the electrons toward the interior. Mosttheorists speculate that thermal motions take theseprimary electrons inward and create the small reversedB-field there. This effect, however, should decrease withpressure, and Fig. 20a shows that the opposite is true.The explanation is still in dispute. In Fig. 20b, theexponential decay of Bz is seen on a linear scale. Alsoshown is the plasma density, which peaks near the axis.Since the RF energy, proportional to Bz

2, is concentratednear the wall, as the Te profile confirms, it is puzzlingwhy the density should peak at the center and not at thewall. We have explained this effect recently by tracingthe path of an individual electron as it travels in and outof the skin layer over many periods of the RF. Anexample is shown in Fig. 21. The result depends onwhether or not the Lorentz force LF e= − ×v B isincluded. This is a nonlinear term, since both v and Bare small wave quantities. This force is in the radialdirection and causes the electron to hit the wall at steeperangles. The electrons are assumed to reflect from thesheath at the wall. The steeper angles of incidence allowthe fast electrons to go radially inwards rather than

018036054072090010801260

RF phase(degrees)

Page 62: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A556

Fig. 22. The Ec curve, a very usefulone in discussing energy balance anddischarge equilibrium (L & L, p. 81).

Fig. 23. Drawing of a TCP from theU.S. Patent Office.

skimming the surface in the skin layer. Only when FL isincluded do the electrons reach the interior with enoughenergy to ionize. This effect, which requires non-Maxwellian electrons as well as nonlinear forces, canexplain why the density peaks at the center even whenthe skin layer is thin. Because of such non-classicaleffects, ICPs can be made to produce uniform plasmaseven if they do not have antenna elements near the axis.

4. Ionization energy.How much RF power does it take to maintain a

given plasma density? There are three factors to con-sider. First, not all the power delivered from the RF am-plifier is deposited into the plasma. Some is lost in thematchbox, transmission line, and the antenna itself,heating up these elements. A little may be radiated awayas radio waves. The part deposited in the plasma isgiven by the integral of J ⋅E over the plasma volume. Ifthe plasma presents a large load resistance and thematching circuit does its job, keeping the reflected powerlow, more than 90% of the RF power can reach theplasma. Second, there is the loss rate of ion-electronpairs, which we have learned to calculate from diffusiontheory. Each time an ion-electron pair recombines on thewall, their kinetic energies are lost. Third, energy isneeded to make another pair, in steady state. The thresh-old energy for ionization is typically 15 eV (15.8 eV forargon). However, it takes much more than 15 eV, on theaverage, to make one ionization because of inelastic col-lisions. Most of the time, the fast electrons in the tail ofthe Maxwellian make excitation collisions with the at-oms, exciting them to an upper state so that they emitradiation in spectral lines. Only once in a while will acollision result in an ionization. By summing up all thepossible transitions and their probabilities, one finds thatit takes more like 50−200 eV to make an ion-electronpair, the excess over 15 eV being lost in radiation. Thegraph of Fig. 22 by V. Vahedi shows the number of eVspent for each ionization as a function of KTe.

5. Transformer Coupled Plasmas (TCPs)As shown in Fig. 23, a TCP is an ICP with an

antenna is shaped into a flat spiral like the heater on anelectric stove top. It sits on top of a large quartz plate,which is vacuum sealed to the plasma chamber belowcontaining the chuck and wafer. The processing cham-ber can also have dipole surface magnetic fields, and theantenna may also have a Faraday shield consisting of a

Page 63: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Sources III 57

(a)

(b)

(c)

(d)

plate with radial slots. According to our previous discus-sion, the induced electric field in the plasma is in theazimuthal direction, following the antenna current.Electrons are therefore driven in the azimuthal directionto produce the ionization. As in other ICPs, the skindepth is of the order of a few centimeters, so the plasmais generated in a layer just below the quartz plate. Fig.24a shows the compression of the RF field by theplasmas shielding currents. The plasma then diffusesdownwards toward the wafer. In the vicinity of the an-tenna, its structure is reflected in irregularities of plasmadensity, but these are smoothed out as the plasma dif-fuses. There is a tradeoff between large antenna-waferspacing, which gives better uniformity, and small spac-ing, which gives higher density. Being one of the firstcommercially successful ICPs, TCPs have been studiedextensively; results of modeling were shown in Fig. 14.Densities of order 1012 cm-3 can be obtained (Fig. 24c).Magnetic buckets have been found to improve theplasma uniformity. There is dispute about the need for aFaraday shield: though a shield in principle reducesasymmetry due to capacitive coupling, it makes it harderto ignite the discharge. If the antenna is too long or thefrequency too high, standing waves may be set up in theantenna, causing an uneven distribution of RF power.The ionization region can be extended further from theantenna by launching a waveeither an ion acousticwave or an m = 0 helicon wave, but such TCPs have notbeen commercialized. The spiral coil allows the TCPdesign to be expanded to cover large substrates; in fact,very large TCPs, perhaps using several coils, have beenproduced for etching flat-panel displays.

TCPs and ICPs have several advantages over RIEreactors. There is no large RF potential in the plasma, sothe wafer bias is not constrained to be high. This biascan be set to an arbitrary value with a separate oscillator,so the ion energy is well controlled. The ion energiesalso are not subject to violent changes during the RF cy-cle. These devices have higher ionization efficiency, sohigh ion fluxes can be obtained at low pressures. It iseasier to cover a large wafer uniformly. In remote-source or detached-source operation, it is desired to haveas little plasma in contact with the wafer as possible; theplasma is used only to produce the necessary chemicalradicals. This is not possible with RIE devices. Com-pared with ECR machines, ICPs are much simpler andcheaper, because they require no magnetic field or mi-crowave power systems.

Page 64: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A558

Fig. 24. (a) RF field pattern withoutand with plasma; (b) radial profiles ofBr at various distances below the an-tenna, showing the symmetry; (c)density vs. RF power at various pres-sures; (d) plasma uniformity with andwithout a magnetic bucket. [from L &L, p. 400 ff.]

Fig. 25. The standard (left) and al-ternate (right) matching networks.

6. Matching circuitsThe matching circuit is an important part of an

ICP. It consists of two tunable vacuum capacitors, whichtend to be large and expensive, mounted in a box withinput and output connectors. At RF frequencies, wiresare not simply wires, since every length of wire has anappreciable inductance; hence, the way the connectionsand ground plane are arranged inside the matchbox re-quires RF expertise. In addition, industrial plasma reac-tors have automatch circuits, which sense the way thecapacitors have to be tuned to match the load and havelittle motors that automatically turn the tuning knobs.Design of matching circuits can be done with commer-cial network analyzers which plot out the Smith chartthat is familiar to electrical engineers. However, wehave derived analytic formulas which students can usewithout the expensive equipment (Chen, UCLA ReportPPG-1401, 1992). The capacitors, called the loading capacitor CL (C1in the diagram) and the tuning capacitor CT (C2), can bearranged in either the standard or an alternate configura-tion. Let R0 be the characteristic impedance of the poweramplifier and the transmission lines (usually 50Ω), andlet R and X be respectively the resistance and reactanceof the load, both normalized to R0. For instance for aninductive load with inductance L, X is ωL/R0. These willchange when the plasma is created. For the standard cir-cuit, the capacitances are

′ = − −

′ = − − ′ −

C R R

C X R CL

T L

[ ( ) ] /

[ ( ) / ]

1 1 2 2

1

2

1, (6)

where CL′ ≡ ωCLR0, etc. For the alternate circuit wehave

′ = ′ = −C R B C X B TL T/ , ( ) / 2 , (7)

where

T R X B R T R2 2 2 2 2≡ + ≡ −, ( ) . (7a)

Note that these two circuits are actually identical: toswitch from standard to alternate, you merely haveto swap the input and output terminals. The alternatecircuits usually requires smaller capacitors with highervoltage ratings. These formulas do not include the cablethat connects the matchbox to the antenna. Any cablelength longer than a foot or so can make a big differencein the tuning; for instance, it can change in inductive load

Page 65: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Sources III 59

(a)

(b)

Fig. 26. (a) A monopolar ESC; (b) abipolar ESC. What is seen is actuallythe coolant paths (from Applied Mate-rials).

to a resistive load. This is because a ¼ wavelength of a13.56 MHz wave in the cable is only about 5 m, and thereflected signal arriving back at the tuning circuit wouldbe changed in phase significantly by a cable length of afraction of a meter. How to handle transmission lines iscovered in PPG-1401. Note in Eq. (6) that a capacitiveload would have negative X, and then CT would becomenegative; that is, it would require an inductor rather thana capacitor. Indeed, RIE discharges cannot be matchedby purely capacitive tuning circuits; an inductor has to beadded inside the matchbox. This inductor can be just awire loop a couple of inches in diameter, but the coilmust not be distorted or moved by the user, because thatwould change its inductance.

7. Electrostatic chucks (ESCs)In plasma processing, photons and ions impinge

on the wafer and heat it up. It is important to have anefficient way to remove the heat. A flow of He gas, agood heat transfer agent, is used on the back side of thewafer to cool the wafer. Originally, the wafer was heldonto the chuck with mechanical fingers at the edges.This not only made the available area smaller but alsoallowed the wafer to bulge upwards under the He pres-sure, thus compromising its planarity. Electrostaticchucks have been developed to overcome these prob-lems. In an ESC, a DC voltage is put on the chuck,charging it up. An opposite charge is attracted to theback side of the wafer, and these opposite charges attracteach other, holding the wafer flat against the chuck at allpoints. To introduce the He, grooves are milled into thechuck. The back side of the wafers are rough enoughthat gas entering these grooves can seep beneath the wa-fer and remove heat from the whole surface.

There are two types of ESC. Monopolar chuckshave the same voltage applied to the entire chuck. Thereturn path is through the plasma; that is, if a positivecharge, say, is put on the chuck, the originally neutralwafer would have negative charges attracted to its backside and positive charges repelled to its front side.There, the charges are neutralized by electrons from theplasma. Thus, a monopolar chuck can function only ifthe plasma is on. There are problems with timing, be-cause one has to make sure the wafer is not released toosoon after plasma turnoff, and the wafer has to be firmlyheld as the plasma is turned on. The advantage of mo-nopolar chucks is that it is easy to release the wafer. Bi-polar chucks are divided into regions which get chargedto opposite potentials. The return path in the wafer is

Page 66: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A560

Fig. 27. Design curves for electro-static chucks (from PMT, Inc.)

then through the wafers cross section from one region tothe next. The plasma is not necessary for the chuck tohold. The problem is that it is hard to get rid of thecharging after the process is over to release the wafer;materials of just the right dielectric constant and conduc-tivity have to be used in bipolar chucks. An ESC consists of a flat plate (with grooves) cov-ered with a layer of dielectric. On top of that is an airgap, which is just the roughness of the wafer, and thenthe wafer itself. The holding pressure of the chuck de-pends on the thickness tgap of the air gap, and also on theratio ε / t, where ε is the dielectric constant of the dielec-tric layer of thickness t. For given values of these pa-rameters, the holding pressure (in Torr) will increasewith chuck voltage. Dielectric constants vary from 3-4for polyimide insulators to 9-11 for alumina or sapphire.Chuck voltages can vary from 100 to 5000V. Since theplasma potential oscillates at the RF and bias frequen-cies, the required chuck voltage can also vary with RFpower. Figure 27 shows the variation of clamping forcewith gap thickness and voltage with ε / t.

Page 67: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Sources IV 61

.

m = 1

m = 0

Fig. 1. Instantaneous E-field patternsfor m = 1 and m = 0 helicon waves.

PRINCIPLES OF PLASMA PROCESSINGCourse Notes: Prof. F.F. Chen

PART A6: PLASMA SOURCES IV

VIII. HELICON WAVE SOURCES and HDPsThe newest type of High Density Plasma (HDP)

is produced by the helicon wave source (HWS). Thissource requires a magnetic field of 50−1000G and is ex-cited by an RF antenna, as in an ICP. The magnetic fieldhas three functions: a) it increases the skin depth, so thatthe inductive field penetrates into the entire plasma; b) ithelps to confine the electrons for a longer time; and c) itgives the operator extra adjustments to vary the plasmaparameters, such as the density uniformity. The antennalaunches a wave, called a helicon wave, that propagatesalong B with a phase velocity comparable to that of a 50-200 eV electron. The wave causes very efficient ioniza-tion, so that experiments are often done with densities inthe mid-1013 cm-3 range, though such high densities arenot usable for semiconductor processing. Nonetheless,HWS densities tend to be an order of magnitude higherthan the 1011 cm-3 densities typical of ICPs. Until re-cently, it was not known why HW sources are so effi-cient. Initially, it was thought that cool electrons couldcatch the wave and surf on it up to the wave velocity,thus speeding up to where their ionization cross sectionwas at its peak. Recent theories explain the efficient ab-sorption of RF power by mode-coupling to another wave,a Trivelpiece-Gould (TG) wave, which will be describedlater.

1. Dispersion relationIn Part A5, Eq. (A5-2) gave the dispersion rela-

tion for RH polarized e.m. waves propagating in the zdirection (along B0). If, instead, the wave vector k wereat an angle θ to B0, the dispersion relation would be

22 2

2 211

1 cos

p

c

c k ωωω ω θω

= −−

(1)

This is called a whistler wave in space physics and wasfirst heard by radio operators listening to ionosphericnoise through headphones. At helicon densities andmagnetic fields, the 1s are both negligible, and theequation becomes

Page 68: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A662

Nagoya Type III

--

+

E

Half helical

Double saddle coil

Fig. 2. Common types of helicon an-tennas.

22 2

2 2 cosp

c

c k ω ωω θω ω

= . (2)

Since k2 = kz2 + k⊥

2, the factor cosθ is just kz / k. Eq. (2)then becomes

20

2p

z zc

enkk k Bc

ω µω ω

ω= = . (3)

This shows how the basic helicon dispersion relation isrelated to the ones we have already encountered. To beconsistent with helicon terminology, however, we nowmake a few changes in notation. The k above is the totalpropagation constant, and it will be called β from nowon. The z component kz will now be called k. Eq. (3)then becomes

2 2 20 ,ne k kk B

µωβ β ⊥= ≡ + . (4)

Since helicon waves are whistlers confined to a cylinder,the plane-geometry concept of k⊥ is no longer useful; k⊥

will later be replaced by arguments of Bessel functions.In cylindrical geometry, k⊥ is approximately 3.83/a,where a is the plasma radius, the 3.83 coming from aBessel function root. In basic experiments, the radius ofthe helicon plasma is much smaller than its length, andone has k⊥ >> k and β ≈ k⊥. We see from Eq. (4) that ifone fixes ω, the radius a, and the wavelength 2π/k (byadjusting the length of the antenna), then n/B must befixed. In the simplest helicon wave, the density shouldincrease linearly with magnetic field.

2. Wave patterns and antennasIf we make the simplifying assumption that the

plasma is uniform in the z and θ directions, we canFourier analyze and study each z and θ mode by assum-ing that each wave quantity, such as B (as distinguishedfrom the dc field 0B z ), varies as

( )( ) i m kz tr e θ ω+ −=B B . (5)Thus, the wave propagates in the z direction with awavelength 2π/k, and its amplitude varies in the θ direc-tion as cos(mθ) . Here m is the azimuthal wave number.Thus, m = 0 is a mode that is azimuthally symmetric,

Page 69: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Sources IV 63

Fig. 3a. Density jumps withincreasing field (from Boswell et al.).

Fig. 3b. Density jumps withincreasing power (from Shoji et al.).

Fig. 4. Increase of density with B-field.

while m = 1 is a mode that varies as cosθ and is RH po-larized (θ increases as t increases). Similarly, m = −1 isLH polarized. Though LH waves in free space are eva-nescent, both RH and LH waves can propagate in a cyl-inder. Actually LH waves are not easily excited, for ob-scure reasons.

The electric field patterns for m = 1 and m = 0helicons are shown in Fig. 1. The m = 1 mode has a pat-tern that does not change as it rotates. As the wavepropagates in the z direction (the direction of B), a sta-tionary observer would see the pattern rotating clockwiseas viewed along B. The m = 0 mode is entirely different:the pattern is not invariant but changes from electrostatic(radial E-lines) to electromagnetic (azimuthal E-lines) ineach half-cycle.

Antennas can be shaped to launch particularmodes. Some are shown in Fig. 2. For instance, a sim-ple hoop or two separated hoops with current in oppositedirections can launch m = 0 modes. A Nagoya Type III(N3) antenna has two parallel legs connecting two suchhoops; these legs are actually more important than theend rings. The N3 launches plane-polarized |m| = 1modes consisting of equal amplitudes of m = +1 and m =−1. In practice, however, the m = −1 mode can hardly bedetected, and the m = +1 mode is the one that is launchedin both directions. A half-helical (HH) antenna is an N3antenna with one end twisted 180° to match the helicityof the m = 1 wave pattern. Like the N3, it is half awavelength long, chosen to give the right value of kz inEq. (3). Depending on the direction of B0, the HHlaunches an m = +1 wave out one end and a (small) m =−1 wave out the other. The double saddle coil is an N3antenna with each parallel leg spit into two paths, slightlyseparated. The advantage of this is that it can be slippedonto a tube without breaking vacuum. With helical an-tennas, one does not really have to build antennas withdifferent directions of twist. To change between m = +1and m = −1 excitation, one merely needs to reverse themagnetic field. This can be seen from Eq. (5), since the zdirection is defined to be that of B0.

3. Mode jumpingAt low B0 or low power Prf, the conditions are not

right for generating helicon waves, and one gets only alow density plasma characteristic of ICPs. As the field israised above 200-400G (depending on other parameterssuch as pressure), the helicon dispersion can be satisfied,and the density discontinuously jumps to a high value

Page 70: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A664

Fig. 5. Axial view of a helicon dis-charge in 488 nm Ar+ light before andafter jump into the big blue mode(which is color-coded red here!).

0.0

0.2

0.4

0.6

0.8

1.0

0.0 0.2 0.4 0.6 0.8 1.0r / a

|Bz|

010010002000

B(G)kz = 0

Fig. 6a. Decay of a kz = 0 antennafield vs. B0.

0.0

0.2

0.4

0.6

0.8

1.0

0.0 0.2 0.4 0.6 0.8 1.0r / a

|Bz|

0.140.120.100.050

Kz (cm-1)

Fig. 6b. Decay of antenna field at B0= 100G for various kz.

satisfying Eq. (4) (Fig. 3a). From then on, n increaseslinearly with B. Similar jumps are seen as Prf is in-creased (Fig. 3b). At low power, there is only capacitivecoupling, and the density is very low. As the power israised to 100-300 W, inductive coupling takes hold, andthe density jumps to a value characteristic of ICPs. At400 W or so, the helicon mode is struck, and the densitytakes another jump to a value satisfying (4). In Fig. 4,we see that, as B0 is raised from 0, the density on axiscan increase by 20 times over its B0 = 0, or ICP, value.However, the averaged density is not changed quite asmuch. What happens is that the plasma snaps into a bigblue mode with a bright, dense central core. This corehas a higher Te and higher ionization fraction than thesurrounding plasma. For processing purposes, however,the >1013 cm-3 densities of the blue mode at 1000G and2kW are not useful. Lower B0 and Prf are used to createa weaker, pinkish (in argon) plasma which will dissociatea molecule like Cl2 but not totally ionize it.

4. Modified skin depthThe skin depth in ICPs is determined by shielding

current of electrons. With as little as 10G of magneticfield, the electrons would have small Larmor radii and beunable to flow in the direction required for shielding.One would think that the rf field would then penetrateeasily into the plasma. However, because of a mecha-nism too complicated to explain here, this does not hap-pen. If kz = 0, the skin depth does not change appreciablyuntil B0 reaches 1000s of gauss. If the antenna field isnot constant over all z that is, if kz ≠ 0 the skindepth can again be increased by the B-field. The rf field,however, still does not penetrate all the way to the axisuntil kz is large enough to satisfy Eq. (3) so that heliconwaves can be excited. Thus, helicon waves are necessaryfor getting rf energy to the center of the plasma. Theanomalous skin depth mechanism of ICPs will not workin a magnetic field.

5. Trivelpiece-Gould modesWith the same amount of power deposited into

the plasma, helicon discharges produce more ions thando ICP discharges; it is not just the peak density that ishigher. This was hard to understand, since the usualtransfer of rf energy to the plasma is through collisionaldamping of the wave fields, and it can be shown that thisdamping is quite weak for helicon waves. Magnetic con-finement helps a little (not nearly enough); on the otherhand the field prevents the drift of fast electrons into the

Page 71: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Sources IV 65

0

1

10

100

0 1 10 100 1000β (cm−1)

k (c

m-1

)

19501002005001200Kmax

B (G)

kmax=β

ω = ω c / 2

T-G branchHelicon branch

kmin

Fig. 7. The k −β curves for combinedhelicon−TG modes.

0

100

200

300

400

500

0.00 0.01 0.02 0.03 0.04 0.05r (m)

P(r)

(a)

0

1000

2000

3000

0.00 0.01 0.02 0.03 0.04 0.05r (m)

P(r)

(b)

Fig. 8. Radial profiles of energydeposition at (a) 50G and (b) 300Gshowing the relative contributions ofthe H and TG modes.

center, as in ICPs. At first, it was thought that Landaudamping was responsible for the efficient energy trans-fer. This is a mechanism in which electrons surf on thewave and gain energy up to the phase velocity.

For usual values of n and B in Eq. (4), the phase velocityω / k would put the surfing electrons at an energy nearthe maximum of the ionization cross section (~100 eV),thus increasing the ionization rate. This was such an at-tractive explanation that numerous experiments were per-formed to prove it. Careful measurements of the EEDF,however, showed no such fast-electron tail. This colli-sionless damping mechanism could still occur near theantenna, but the current belief is that it is insufficient toaccount for the high density of helicon discharges.

An alternative explanation was found when themass ratio m / M, though small, was assumed to be finiteinstead of zero, as it was in deriving Eq. (3). Two wavesare then found which satisfy the differential equations

2 21 20, 0β β∇ + = ∇ + =B B B B , (6)

where β1 and β2 are the total wave numbers of the twowaves. The βs satisfy a quadratic equation, whose rootsare

1/ 20

1,241 1 ,

2 c

kk

β δ ωβ δ

δ ω

= − ≡ ∓ . (7)

Here β0 is the approximate value of β given by Eq. (4),and δ is not the skin depth δ !. The upper sign gives β1 ≈β0, the modified helicon wave, which approaches β0 as δ→ 0. The lower sign gives β2 ≈ k/δ, the Trivelpiece-Gould (TG) mode, which is essentially an electron cy-clotron wave confined to a cylinder. For given k, bothwaves exist at the same time, and their β values areshown in Fig. 7 for various values of B0.

In Fig. 7, for a given value of k, there are two possi-ble βs: β1 and β2. The smaller one has longer radial

Page 72: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A666

Fig. 9. The glow of a helicon dis-charge [U. of Wisconsin].

Fig. 10. Transition between ca-pacitive coupling (E-mode), ordinaryinductive coupling (H-mode), andhelicon coupling (W-mode) [Degelinget al., Phys. Plasmas 3, 2788 (1996)].

.

Fig. 12. A Nagoya III antennalaunches m = +1 waves in both direc-tions, as long as B0 is above thethreshold for the W-mode.

wavelength and is the helicon (H) wave; the larger β hasshorter radial wavelength and is the TG wave. Its wave-length can be so short that it damps out before going farinto the plasma, and it is then essentially a surface wave.Nonetheless, because it is damped rapidly, it accounts forthe efficient RF power absorption of the HWS. Themechanism is as follows. The antenna excites the Hwave as usual, but the H wave is damped so weakly thatit cannot account for all the RF power absorbed. For δ >0, however, the H wave alone cannot satisfy the bound-ary condition at r = a; a TG wave must be generatedthere as well, with an amplitude determined by theboundary condition. The TG wave is heavily dampedand deposits RF energy near the surface. At low B-fields, the curve becomes shallower, and β1 and β2 areclose to each other, so that the H and TG waves arestrongly coupled, and both extend far into the plasma.For ωc < 2ω, the H wave becomes evanescent, and onlythe TG wave can propagate. At high B0, note that thereis a minimum value of k; that is, the axial wavelengthcannot be overly long.

6. Examples of helicon measurements

Fig. 11. A uniform-field system used for most of the studies ofhelicon discharges shown here [UCLA].

Fig. 13. A helical antenna launches the m = +1 mode in onedirection only.

Page 73: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Sources IV 67

Fig. 15. Measured radial density pro-files of Bj, compared with the Besselsolutions for m = +1 and m = −1 (faintline). Regardless of the direction ofB0, it was not possible to get profilesagreeing with m = −1.

0.0

0.6

1.2

1.8

0 20 40 60 80 100 120 140B (G)

n (1

011 c

m-3

)

200135115857045

Prf (W)

Fig. 16. The low-field density peak forvarious Prf.

0

2

4

6

8

10

12

14

-6 -4 -2 0 2 4 6r (cm)

J z (a

rb. u

nits

)

4.24.2no TG

n (1011)

40G(b)

Fig. 17. Radial profile of the RF cur-rent (points) at 40G, as comparedwith simple helicon theory (bottomcurve) and the theory including theTG mode.

Fig. 14. With a helical antenna, both n and KTe peakdownstream of the antenna (at the left, between the bars).

The data shown above illustrate the behavior ofhelicon discharges in normal operation, at fields highenough that Eq. (3) is obeyed, and n increases linearlywith B0. Peak densities of up to 1014 cm-3 have been ob-served. Though the TG mode is not seen because it islocalized to a thin boundary layer at these fields, it isnonetheless responsible for most of the energy absorp-tion. Helicons can also be generated at fields of ~100Gand below, exhibiting behavior different from ICPs. Alow-field density peak is often seen, with the density de-caying before it goes up linearly with B0 in its high-fieldbehavior (Fig. 16). The densities in this peak are nothigh but are still higher than in ICPs. It is believed thatthis peak is due to constructive interference from thewave reflected from a nearby endplate. At low B-fields,the TG mode has a comparatively long radial wavelengthand can be seen in the RF current Jz, which emphasizesthe TG mode. The TG mode generates peaks in Jz nearthe boundary, as seen in Fig. 17. These additional peaksare not predicted by the theory neglecting the TG modes.

7. Commercial helicon sourcesTwo commercial helicon reactors have been mar-

keted so far: the Boswell source, and the MØRI (M = 0Reactive Ion etcher) source of PMT, Inc. (now Trikon).Variations of the Boswell source have been sold by vari-ous companies on three continents, including Lucas Labsin the U.S. The Lucas source uses a paddle (or saddle)-shaped m = 1 antenna. The MØRI source uses a two-loop m = 0 antenna with the currents in opposite direc-tions. It incorporates a magnetic bucket in the processingchamber to confine the primary electrons. The field coilshave a special shape: two coils in the same plane withcurrents in opposite directions. These opposed coils

Page 74: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A668

Fig. 18. The Lucas source.

Fig. 20. The MØRI source.

Magnitude of B at z = 30 cm

0

1

2

3

-25 -15 -5 5 15 25R (cm)

|B| (

G)

-2.5-1.5-2.0

CCR

Fig. 22. Computed B-field strength atwafer level for three values of the coil

current ratio.

make the magnetic field diverge rapidly, so that very lit-tle field exists at the wafer. The density profile can beflattened by controlling the ratio of the currents in thetwo coils. The magnetic fields used in these reactors isin the 100-400G range.

Fig. 19. Drawing of the PMT MØRI source.

-40

-30

-20

-10

0

10

20

30

40

-20 -15 -10 -5 0 5 10 15 20 25 30 35 40z (cm)

R (c

m)

CCR = -2.0

CCR = -3.0

Fig. 21. The B-field patterns in the MØRI source (on its side)for various coil current ratios (CCR). A negative ratio bendsthe field lines away from the substrate and can be adjusted togive < 1G at the wafer level.

To cover large substrates, multiple helicon sourcesare being developed at UCLA. These comprise an arrayof appropriately spaced tubes, each being short to makeuse of the low-field peak mentioned above. Uniformdensities above 1012 cm-3 with ±3% uniformity over a40-cm diameter have been achieved. In this example, sixtubes are spaced around a central tube. Density scansover the cross sectional area showed no six-fold asym-metry due to the individual sources.

Page 75: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Sources IV 69

0

2

4

6

8

10

12

0 5 10 15 20 25 30R (cm)

n (1

011 c

m-3

)

321

P(kW)

ARGON

Center

Fig. 24. Density scans at variouspower levels.

-20

0

20

-20 0 20

2 5 8111417

Fig. 25. Density variations at variousradii.

STUBBY MULTI-TUBE SOURCE

ROTATING PROBE ARRAY

PERMANENT MAGNETS

Fig. 23. A multi-tube m = 0 helicon source

Performance of the distributed source of Fig. 23 is shownin Figs. 24 and 25, and in Sec. A3, under Uniformity.

IX. DISCHARGE EQUILIBRIUM (L&L, p. 304ff)In high-density plasmas (HDPs), the plasma

density, neutral density, bulk electron temperature, andEEDF determine the performance of the reactor inproducing the right mixture of chemical species and theenergy and flux of ions onto the substrate. For someprocesses, such as cleaning and stripping, simply a highdensity of oxygen would do; but for the more delicateetching processes, the proper equilibrium conditions arecrucial. Reactor-scale numerical modeling can treat indetail the exact geometry of the chamber and the gasfeeds, and the radial profiles of the various neutral andionized species. In more detailed computations, even thesheath and non-Maxwellian electron effects are included.The problem with modeling is that only one conditioncan be computed at a time, and it is hard to see thescaling laws behind the behavior of the discharge. To getan idea of the relation between energy deposition and theresulting densities and temperatures, we need to examineparticle and energy balance in a plasma.

1. Particle balanceConsider the rate at which plasma is lost to the

walls in an arbitrary chamber of volume V and surfacearea A. Since electrons are confined by sheaths, the lossrate is governed by the flux of ions through the sheathedge at the acoustic speed cs, according to the Bohmcriterion (A1-19). If N is the total number of ions, it willdecrease at the rate

Page 76: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A670

1

10

100

0.01 0.1 1 10 100p0 (mTorr)

KT e

(eV

)

Fig. 26. A Te vs. p0 curve.

1½ ½s s s sout

dN An c Ag nc Ancdt

= = ≈ . (8)

Here g1 is a geometric factor of order unity relating thesheath-edge density to the average density. It differsfrom ½ in realistic plasmas which are not entirelyuniform in the quasineutral region. In steady state, N isreplenished by ionization. The ionization rate isnnn<σv>ion, so N is increased at the rate

2 vn ionin

dN Vg n ndt

σ= < > , (9)

where g2 is another geometric factor of order unity toaccount for density inhomogeneity. Equating input tooutput, n cancels; and we have

1

2

v1 ( )2

ionn n e

s

g A n n f Tg V c

σ < >= ≡

, (10)

where f(Te) is the function of Te in the square brackets.The left-hand side depends only on the geometry of theplasma. For instance, if the chamber is a cylinder ofradius R and length L, the area is 2πR2 + 2πRL, while thevolume is πR2L. The l.h.s. is then approximately (R + L)/RL, or simply 1/R for L >> R. Thus, for a givengeometry and ion mass, Te is related to the neutraldensity nn and is independent of plasma density n. Thisunique relationship is shown in Eq. 26 for R = 16 cm.

Thus, particle balancethat is, equating the rate ofplasma production with the rate of lossdoes not give avalue of the density n. We have only a relation betweenKTe and nn. If nn is depleted by strong ionization, theabscissa in this graph (the filling pressure p0) should bereplaced by the local pressure p(mTorr) = nn / 3 × 1013

cm-3. This depletion is likely to vary with position; forinstance, near the axis, and then one would expect a localrise in KTe there. Solving the diffusion equations isnecessary only to give the geometrical factors in Eq.(10),which could be used to refine the Te − p relation.

2. Energy balanceThe equilibrium density of the plasma can be

estimated from the absorbed RF energy. This is given byOhms law, essentially I2R, or actually E ⋅ J integratedover the volume of the plasma and averaged over time.It is almost equal to E ⋅ J integrated over the antenna,which can be measured by multiplying the voltage and

Page 77: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Sources IV 71current applied to the antenna times the cosine of theangle between them. The only difference is the powerdissipated by the antennas resistance and the powerradiated away into space (both of these effects arenegligible). This energy in must be balanced with theenergy out.

The plasma loses energy by particle loss and byradiation of spectral lines. The total energy lost wheneach ion-electron pair recombines at the wall can bedivided into three terms:

tot i e cW W W W= + + . (11)

Wi is the energy carried out by the ion after fallingthrough the sheath drop Vsh. Since it reaches the sheathedge with a velocity cs, its energy there is ½KTe. Thuswe have

½i e shW KT eV= + . (12)

We is the energy carried out by the electron and onaverage is equal to 2KTe. The reason this is not simplyKTe or (3/2)KTe is that the electron is moving at avelocity vx toward the wall, but it also carries energy dueto its vy and vz motions. We can derive this as follows.The flux of electrons to the wall is

2 /

0x emv KT

e x xn v e dv∞

−Γ = ∫ . (13)

Each carries an energy2 2 2( ) ½ ½ ½x x x eE v mv mv mv KT⊥= + < >= + . (14)

The reason the average energy in the y and z directions isKTe is that there is ½KTe of energy in each of the twodegrees of freedom. The flux of energy out, therefore isthe same as Eq. (13) but with an extra factor of E(vx).The mean energy carried out by each electron is then

20

20

( )exp( / 2 )

exp( / 2 )

x x e x xe

x e x x

E v mv KT v dvW

mv KT v dv

−=

∫∫

. (15)

Since the KTe term of Eq. (14) does not depend on vx, itfactors out, and we have

Page 78: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A672

10

100

1000

1 10KTe (eV)

Ec (

eV)

Fig. 27. The Vahedi curve shown indetail (data from P. Vitello, LLNL).

In the last step we have introduced the abbreviation2 / 2 , ( / )x e e x xw mv KT dw m KT v dv≡ = .

Integrating by parts, we have UdV UV VdU= −∫ ∫ ,

where

w w

U w dU dw

dV e dw V e− −

= =

= = −

The integral in the numerator becomes

00 0w w we wdw we e dw

∞ ∞∞− − −=− +∫ ∫ .

The first term vanishes, and the second term is 1; in anycase, it cancels the denominator. Thus, Eq. (16) gives

2e eW KT= . (17)

The last term, Wc, in Eq. (11) is the same as thefunction Ec(Te) introduced in Sec. VII-4 of Part A5, is theenergy required to produce each electron-ion pair,including the average energy radiated away in lineradiation during this process. In steady state, every ionlost has to be replaced, and therefore this ionization andexcitation energy is lost also. The Ec curve, originallydue to Vahedi, is important, since it represents the majorpart of the energy loss. The useful part of this curve isgiven here on a log-log scale for argon. Equating the power lost to the power Prf absorbedby the plasma, we have, from Eq. (8),

1½rf s totP ng c AW= , (18)

from which the density can be calculated.

3. Electron temperatureIn the previous discussion, we assumed a more or

less uniform and steady-state plasma with ionizationoccurring throughout. The plasma, however, can be non-steady in several ways. It can be pulsed so as to makeuse of the afterglow, where KTe drops to a low value. Itcan also flow away from the antenna to form a detached

2 20 0

20 0

(½ )exp( / 2 )

exp( / 2 )

wx x e x x e

e e ew

x e x x

mv mv KT v dv KT e wdwW KT KT

mv KT v dv e dw

∞ ∞−

∞ ∞−

−= + = +

∫ ∫∫ ∫

(16)

Page 79: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Sources IV 73

Fig. 28. Data and theory showing electroncooling by inelastic collisions (UCLA).

plasma. If there is no more energy input in thedownstream region, the electron temperature isdetermined by a balance between energy loss byradiation and energy gain by heat conduction. We givean example of this. The heat conduction equation for theelectron fluid is

3 02 e

d nKT Qdt

= − ∇ ⋅ =

q , (19)

where Q is the heat source, and q the heat flow vector,and the time derivative is zero in steady state. Becauseof their small mass, electrons lose little energy incolliding with ions or neutrals, so Q is essentially −Wc.For flow along B0, q is given in Coulomb scatteringtheory by

3/ 25

, 3.2 ,

3.44 10 ,ln

ee e

eVe

nKTq KTz m

Tn

κ κ τ

τ

∂= − =

= ×Λ

" " (20)

where κ|| is the coefficient of heat conduction along B0

and τe is the electron-electron scattering time. A solutionof Eq. (19) can be compared with the KTe data givenabove for a helicon discharge, and the result shows goodagreement (Fig. 28).

4. Ion temperatureThe ion temperature does not play an important

role in the overall equilibrium, and it is difficult tomeasure. However, it is interesting because anomalouslyhigh KTi has been observed in helicon discharges, andthis has not yet been definitively explained. Here wewish to see what KTi should be according to classicaltheory. The ions gain energy by colliding with electrons,which get their energy from the RF field. The ions loseenergy by colliding with neutrals. Since the neutralshave almost the same mass as the ions, the latter processwill dominate, and Ti is expected to be near T0, thetemperature of the neutrals. The rate of energy gain isproportional to the difference between Te and Ti:

( )ieieq e i

dT T Tdt

ν= − , (21)

where the coefficient is the rate of equilibration betweenions and electrons and is obtained from the theory ofCoulomb collisions. It is proportional to Te

-3/2. The rate

Page 80: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A674

Ion Temperature in Argon Discharges

0.0

0.2

0.4

0.6

0.8

1.0

1.2

0 1 2 3 4 5 6Te (eV)

T i (e

V)

0.800.430.330.200.09

n/n(fill)

Fig. 29. Ion temperature vs. p0 andKTe, according to classical Coulomb

theory.

of energy loss is proportional to the difference between Tiand T0:

0v ( )in cx i

dT n T Tdt

σ− = < > − , (22)

where the charge-exchange collision rate is used becausethat is dominant. Equating the loss and gain rates, wecan solve for Ti as a function of Te and p0. One suchsolution is shown in Fig. 29. We see that for fractionalionizations <10%, as are normal, Ti should be < 0.1 eV.It is therefore surprising that KTi 's of order 1 eV havebeen observed. This could happen if there is neutraldepletion, so that the neutral density is far below the filldensity. It has also been suggested that the ions areheated by low-frequency waves generated by aninstability.

Page 81: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Diagnostics 75

Fig. 1. Emission of ionized argon lightat various z positions in a helicon dis-charge.

PRINCIPLES OF PLASMA PROCESSINGCourse Notes: Prof. F.F. Chen

PART A7: PLASMA DIAGNOSTICS

X. INTRODUCTIONDiagnostics and sensors are both measurement

methods, but they have different connotations. Diagnos-tic equipment is used in the laboratory on research de-vices and therefore can be a large, expensive, and one-of-a-kind type of instrument. Sensors, on the other hand,are used in production and therefore have to be simple,small, unobtrusive, and foolproof. For instance, end-point detectors, which signal the end of an etching stepby detecting a spectral line characteristic of the underly-ing layer, are so important that they are continually beingimproved. Practical sensors are few in number but con-stitute a large subject which we cannot cover here. Welimit the discussion to laboratory equipment used tomeasure plasma properties in processing tools.

Diagnostics for determining such quantities as n,KTe, Vs, etc. that we have taken for granted so far can beremote or local. Remote methods do not require inser-tion of an object into the plasma, but they do require atleast one window for access. Local diagnostics measurethe plasma properties at one point in the plasma by in-sertion of a probe of one type or another there. Remotemethods depend on some sort of radiation, so the win-dow has to be made of a material that is transparent tothe wavelength being used. Sometimes quartz or sap-phire windows are needed. The plasma can put a coatingon the window after a while and change the transmissionthrough it. Probes, on the other hand, have to withstandbombardment by the plasma particles and the resultingcoating or heating; yet, they have to be small enough soas not to change the properties being measured.

XI. REMOTE DIAGNOSTICS

1. Optical spectroscopyOne common remote diagnostic is optical emis-

sion spectroscopy (OES), which is the optical part of themore general treatment of radiation covered in Part B. InOES, visible light is usually collected by a lens and fo-cused onto the slit of a spectrometer. The detector can bea photodiode, a photomultiplier, or an optical multichan-nel analyzer (OMA). With a photodiode, interferencefilters are used to isolate a particular spectral line. Opti-cal radiation can also be used to image a plasma in thelight of a particular spectral line using an interference

Page 82: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A776

Fig. 2. Schematic of a local OESprobe.

Fig. 3 Example of data on opticalemission vs. z.

filter and a sensitive CCD camera. Fig. 1 shows theemission from ionized argon recorded with a narrow-band filter for the 488 nm line of Ar+. A photomultiplier can see only one part of thespectrum at a time, but it is the most sensitive detectorfor faint signals. An OMA records an entire range ofwavelengths on a CCD (charge-coupled detector) and isthe convenient for scans of a single line or for recordingan entire spectrum.

By comparing the intensities of different spectrallines, one can determine not only the atomic species pre-sent but also the electron temperature, density, and theionization fraction. The relative intensities of two lineswith different excitation thresholds can yield KTe. Therelative intensities of an ion line and a neutral line can beused to estimate the ionization fraction. In principle, linebroadening contains a large amount of information, butonly for hot, highly ionized plasmas. For instance, Dop-pler broadening yields the velocity of the emitting ion oratom. Stark broadening or pressure broadening givesinformation on density. This is because, at high densi-ties, collisions interrupt the emission of radiation, andhence the line cannot contain a single frequency. Inplasma processing, the most useful and well developedtechnique is actinometry. In this method, a known con-centration of an impurity is introduced, and the intensi-ties of two neighboring spectral lines, one from theknown gas and one from the sample, are compared.Since both species are bombarded by the same electrondistribution and the concentration of the actinometer isknow, the density of the sample can be calculated.

Though most optical methods average over a raypath in the plasma, a more local measurement of lightemission can be made with a probe containing a smalllens coupled to an optical fiber. Such a probe is shownin Fig. 2, and data from it in Fig. 3.. The lens collectslight preferentially from a small focal spot just in front ofit. The Ar+ light collected by it is localized under theantenna if B0 = 0, as would be expected in ICP operation.

2. Microwave interferometryAnother useful remote diagnostic is microwave

interferometry. A beam of microwave radiation islaunched by a horn antenna into a plasma through a win-dow. According to Eq. (A5-1), these waves can propa-gate in the plasma if ω > ωp. From (A5-1) it is easilyseen that the phase velocity in the plasma is

Page 83: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Diagnostics 77

Fig. 4. Schematic of a microwaveinterferometer (Chen, p. 91).

2 2 1/ 2(1 / )p

ckω

ω ω=

−. (1)

This is faster than the velocity of light, but it is quite allright for phase velocity to be > c as long as the groupvelocity is < c. The microwave beam therefore has alonger wavelength inside the plasma than in air. Thepresence of the plasma therefore changes the phase of themicrowave signal, a change which increases with thedensity of the plasma. The standard setup is shown inFig. 4. The microwave beam from a generator is splitinto two parts, one going through the plasma and theother going through a waveguide toward the detector,where the two beams are recombined By adjusting thereference signal with an attenuator and phase shifter, thetwo signals can be made to cancel each other, so that thedetector shows zero signal when there is no plasma. Ifthe plasma density is increased slowly, the signal goingthrough the plasma will have undergone fewer oscilla-tions, and this phase shift will cause the nulled detectorto give a finite dc signal output. If the plasma densityreaches a value such that the wave loses exactly onewavelength, the detector will again return to zero; thesignal is shifted by one fringe. By counting the numberof fringes either on the way up to maximum density or onthe way down, one obtains a measure of the average den-sity traversed by the microwave beam. Though this il-lustrates the principle of interferometry, it is not normallydone this way. First, ω is usually chosen so that ωp /ω isa small number; then, the phase shift is linearly propor-tional to n. Second, the entire reference leg can be re-placed by a mirror on the opposite side of the plasma toreflect the beam back into the launching horn. The beamthen travels twice through the plasma and suffers twicethe phase shift. Besides increasing the sensitivity, thismethod obviates phase shifts in the reference leg due tosmall changes in room temperature, which change thelength of the waveguide. If the plasma is always on, it isdifficult to set the initial null of the detector. There arevarious ways to get around this which we need not ex-plain here. Modern network analyzers can do most ofthese calibrations automatically, but the principle of op-eration is always the same.

The phase shift ∆φ that the plasma causes can becalculated as follows. If k0 = ω /c is the propagation con-stant in air and k1 is that in the plasma, we have

Page 84: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A778

0

2

4

6

8

0 1 2 3 4 5x (mm)

Inte

rfero

met

er s

igna

lVacuumPlasma

Fig. 5. Fringe shifts as the path lengthis changed. The lines are analytic fitsthrough the points.

Fig. 6. Fringe patterns views alongthe axis can show the shape of the

plasma [Heald and Wharton, 1978].

0 1( )k k dxφ∆ = −∫ , (2)

where k1 is given by Eq. (1) as1/ 2

1 0 1c

nk kn

= −

. (3)

Here we have replaced ωp2/ω2 by n / nc , where nc is the

critical density defined by2

2

0

cn em

ωε

= . (4)

The phase shift is then1/ 2

0( )1 1c

n xk dxn

φ ∆ = − −

∫ . (5)

We see that the phase shift measures only the line inte-gral of the density, not the local density. If ω is highenough that n << nc, Eq. (5) can be Taylor expanded toobtain

00( ) ½

2 c c

k nn x dx k Ln n

φ < >∆ ≈ ≈∫ radians, (6)

where <n> is the average density over the path length L.In the reflection method, the integral (or L) must be dou-bled. Fig. 5 gives an example of the interferometer out-put in the double-pass method as the mirror is moved tochange the path length. The fringe shift is clearly seen,but it is also evident that the waveform has been dis-torted. This is because the microwave generator did notgive a pure signal, and its harmonics at higher ω suf-fered a different phase shift. By fitting the curves to sinewaves and their harmonics and adjusting the relativephases, one can recover the phase shift of the fundamen-tal and thus get the density. Fig. 6 shows an end view ofa dense plasma, in which the path length was so long thatmany fringes are seen, revealing the shape of the plasma.

Microwave interferometry is useful for calibrat-ing Langmuir probes. With a probe, one can measure thedensity profile across a radius or diameter of the plasma,but the absolute value of the density may not be knownaccurately. By using the measured density profile tocompute the integral in Eq. (6), one can find the absolutedensity by measuring the microwave phase shift. Theerrors in this method come from the fact that the plasmais not perfectly planar, and the microwave beam is not

Page 85: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Diagnostics 79

Fig. 7. Perpendicular alignment ofinjection laser and collection optics[Scime et al., Plasma Sources Sci.Technol. 7, 186 (1998)].

Fig. 8. LIF data on Ti parallel (solidpoints) and perpendicular (openpoints) to B0, showing anomalouslyhigh KTi [Kline et al., Phys. Rev. Lett.88, 195002 (2002]).

perfectly parallel. Refraction can cause part of the beamto miss the collector, and reflections from the chamberwalls can cause spurious waves.

3. Laser Induced Fluorescence (LIF)This diagnostic is both non-invasive and local

because it uses intersecting beam paths. Furthermore, itis the only way to measure Ti without using a large en-ergy analyzer. One laser, tuned to a particular transition,is used to raise ions to an excited state along one paththrough the plasma. The excited ions fluoresce, givingoff light at another frequency, and this light is collectedby a lens focused to one part of the path, providing thelocalization. Doppler broadening of the line yields theion velocity spread in a particular direction. The equip-ment is large, expensive, and difficult to set up, so that itis available in a relatively few laboratories. LIF istreated in more detail in Part B. Figure 7 shows a typicalLIF setup, and Fig. 8 shows data taken in a heliconplasma.

XII. LANGMUIR PROBES

1. Construction and circuitA Langmuir probe is small conductor that can be

introduced into a plasma to collect ion or electron cur-rents that flow to it in response to different voltages. Thecurrent vs. voltage trace, called the I-V characteristic,can be analyzed to reveal information about n, Te, Vs(space potential), and even the distribution function fe(v),but not the ion temperature. Since the probe is immersedin a harsh environment, special techniques are used toprotect it from the plasma and vice versa, and to ensurethat the circuitry gives the correct I −V values. Theprobe tip is made of a high-temperature material, usuallya tungsten rod or wire 0.1−1 mm in diameter. The rod isthreaded into a thin ceramic tube, usually alumina, to in-sulate it from the plasma except for a short length of ex-posed tip, about 2−10 mm long. These materials can beexposed to low-temperature laboratory plasmas withoutmelting or excessive sputtering. To avoid disturbing theplasma, the ceramic tube should be as thin as possible,preferably < 1 mm in diameter but usually several timesthis. The probe tip should extend out of the end of thetube without touching it, so that it would not be in elec-trical contact with any conducting coating that may de-posit onto the insulator. The assembly is encased in avacuum jacket, which could be a stainless steel or glasstube 1/4″ in outside diameter (OD). It is preferable tomake the vacuum seal at the outside end of the probe as-

Page 86: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A780

Fig. 9. A carbon probe tip assemblywith RF compensation circuitry [Suditand Chen, Plasma Sources Sci.Technol. 4, 162 (1994)].

vPROBE

R

(a)

PROBE

R

v

(b)

Fig. 10. Two basic configurations forthe probe circuit.

sembly rather than at the end immersed in the plasma,which can cause a leak. Only the ceramic part of thehousing should be allowed to enter the plasma. Somecommercial Langmuir probes use a rather thick metaltube to support the probe tip assembly, and this canmodify the plasma characteristics unless the density isvery low. In dense plasmas the probe cannot withstandthe heat unless the plasma is pulsed or the probe is me-chanically moved in and out of the plasma in less than asecond. When collecting ion current, the probe can beeroded by sputtering, thus changing its collection area.This can be minimized by using carbon as the tip mate-rial. Ordinary pencil lead, 0.3mm in diameter works welland can be supported by a hypodermic needle inside theceramic shield. One implementation of a probe tip as-sembly is shown in Fig. 9.

There are two basic ways to apply a voltage V tothe probe and measure the current I that it draws from theplasma, and each has its disadvantages. In Fig. 10a, theprobe lead, taken through a vacuum feedthru, is con-nected to a battery or a variable voltage source (bias sup-ply) and then to a termination resistor R to ground. Tomeasure the probe current, the voltage across R is re-corded or displayed on an oscilloscope. This arrange-ment has the advantage that the measuring resistor isgrounded and therefore not subject to spurious pickup.Since the resistor is usually 10-1000Ω, typically 50Ω,this is not a serious problem anyway. The disadvantageis that the bias supply is floating. If this is a small bat-tery, it cannot easily be varied. If it is a large electronicsupply, the capacitance to ground will be so large that acsignals will be short-circuited to ground, and the probecannot be expected to have good frequency response.The bias supply can also act as an antenna to pick up rfnoise. To avoid this, one can ground the bias supply andput the measuring resistor on the hot side, as shown inFig. 10b. This is usually done if the bias supply gener-ates a sweep voltage. However, the voltage across Rnow has to be measured with a differential amplifier orsome other floating device; or, it can be optoelectroni-cally transmitted to a grounded circuit. The probe volt-age Vp should be measured on the ground side of R so asnot to load the probe with another stray capacitance.

To measure plasma potential with a Langmuirprobe, one can terminate the probe in a high impedance,such as the 1 MΩ input resistance of the oscilloscope.This is called a floating probe. A lower R, like 100K,can be used to suppress pickup. The minimum value of

Page 87: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Diagnostics 81

I

V

Ion saturation

Electron saturation

Transition

"Knee"

Floating potential

(a)

-0.02

0.00

0.02

0.04

0.06

0.08

0.10

0.12

-100 -50 0 50V

I (A)

(b)

Fig. 11. (a) An idealized I V char-acteristic showing its various parts;(b) a real I V curve from an ICP.

R has to be high enough that the IR drop through it doeschange the measured voltage. A rough rule of thumb isthat IsatR should be much greater than TeV, or R >>TeV/Isat, where Isat is the ion saturation current definedbelow. The voltage measured is not the plasma potentialbut the floating potential, also defined below. The largevalue of R means that good frequency response is diffi-cult to achieve because of the RC time constant of straycapacitances. One can improve the frequency responsewith capacitance neutralization techniques, but eventhen it is hard to make a floating probe respond to RFfrequencies.

2. The electron characteristicA Langmuir I-V trace is usually displayed upside

down, so that electron current into the probe is in the +ydirection. The curve, resembling that in Fig. 11a, hasfive distinct parts. The point at which the curve crossesthe V axis is called the floating potential Vf. To the leftof this the probe draws ion current, and the curve soonflattens out to a more or less constant value called the ionsaturation current Isat. To the right of Vf, electron currentis drawn, and the I-V curve goes into an exponential part,or transition region, as the Coulomb barrier is lowered toallow slower electrons in the Maxwellian distribution topenetrate it. At the space potential Vs, the curve takes asharp turn, called the knee, and saturates at the electronsaturation current Ies. Actual I V curves in RF ormagnetized plasmas usually have an indistinct knee, asshown in Fig. 11b.

The exponential part of the I − V curve, whenplotted semi-logarithmically vs. the probe voltage Vp,should be a straight line if the electrons are Maxwellian:

exp[ ( ) / )]e es p s eI I e V V KT= − , (7)

where, from Eq. (A4-2), 1/ 2

v / 42

ees e e

KTI eAn en Amπ

= =

, (8)

A being the exposed area of the probe tip. Eq. (7) showsthat the slope of the (ln I)−Vp curve is exactly 1/TeV andis a good measure of the electron temperature. As longas the electrons are Maxwellian and are repelled by theprobe, the EEDF at a potential V < 0 is proportional to

2 2(½ v ) / | |/ ( v / 2 )(v) e e ee e em eV KT eV KT m KTf − + − −∝ = . (9)

Page 88: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A782

0.1

1.0

10.0

100.0

0 5 10 15 20V

I e (m

A)

MaxwellianModified dataRaw Data

Fig. 12. A semilog plot of electroncurrent from an I V curve in an ICP.

We see that f(v) is still Maxwellian at the same Te; onlythe density is decreased by exp(−e|V|/KTe). Thus, theslope of the semilog curve is independent of probe areaor shape and independent of collisions, since thesemerely preserve the Maxwellian distribution. However,before Ie can be obtained from I, one has to subtract theion current Ii. This can be done approximately by draw-ing a straight line through Isat and extrapolating it to theelectron region. One can estimate the ion contribution more accu-rately by using one of the theories of ion collection dis-cussed below, but refinements to this small correction areusually not necessary, and they affect only the high-energy tail of the electron distribution. One easy itera-tion is to change the magnitude of the Isat correction untilthe ln I plot is linear over as large a voltage range as pos-sible. Fig. 12 shows a measured electron characteristicand a straight-line fit to it. The ion current was calcu-lated from a theoretical fit to Isat and added back to I toget Ie. The uncorrected points are also shown; they havea smaller region of linearity.

3. Electron saturationSince Isat is ≈cs because of the Bohm sheath crite-

rion, Ies, given by Eq. (8), should be ≈(M/m)½ times aslarge as Isat. In low-pressure, unmagnetized discharges,this is indeed true, and the knee of the curve is sharp andis a good measure of Vs. For very high positive voltages,Ies increases as the sheath expands, the shape of the curvedepending on the shape of the probe tip. However, ef-fects such as collisions and magnetic fields will lower themagnitude of Ies and round off the knee so that Vs is hardto determine. In particular, magnetic fields strongenough to make the electron Larmor radius smaller thanthe probe radius will limit Ies to only 10-20 times Isat be-cause the probe depletes the field lines that it intercepts,and further electrons can be collected only if they diffuseacross the B-field. The knee, now indistinct, indicates aspace potential, but only that in the depleted tube of fieldlines, not Vs in the main plasma. In this case, the I − Vcurve is exponential only over a range of a few KTeabove the floating potential and therefore samples onlythe electrons in the tail of the Maxwellian. One mightthink that measurement of Ies would give information onthe electron density, but this is possible only at low den-sities and pressures, where the mean free path is verylong. Otherwise, the current collected by the probe is solarge that it drains the plasma and changes its equilibrium

Page 89: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Diagnostics 83properties. It is better to measure n by collecting ions,which would give the same information, since plasmasare quasineutral. More importantly, one should avoidcollecting saturation electron current for more than a fewmilliseconds at a time, because the probe can be dam-aged.

4. Space potentialThe time-honored way to obtain the space poten-

tial (or plasma potential) is to draw straight lines throughthe I − V curve in the transition and electron saturationregions and call the crossing point Vs, Ies. This does notwork well if Ies region is curved. As seen in Fig. 11b, agood knee is not always obtained even in an ICP with B0= 0. In that case, there are two methods one can use.The first is to measure Vf and calculate it from Eq. (A4-4), regarding the probe as a wall. The second is to takethe point where Ie starts to deviate from exponentialgrowth; that is, where ( )eI V′ is maximum or ( )eI V′′ iszero. If ( )eI V′ has a distinct maximum, a reasonablevalue for Vs is obtained, but it would be dangerous toequate the current there to Ies. That is because, accord-ing to Eq. (7), Ies depends exponentially on the assumedvalue of Vs.

5. Ion saturation current1

a) Plane probes. The measurement of Isat is thesimplest and best way to determine n. At densities aboveabout 1011 cm-3, the sheath around a negatively biasedprobe is so thin that the area of the sheath edge is essen-tially the same as the area of the probe tip itself. The ioncurrent is then just that necessary to satisfy the Bohmsheath criterion:

1/ 20.5 ( / )sat eI eAn KT M= , (10)

where the factor 0.5 represents ns/n. This value is onlyapproximate; when probes are calibrated against otherdiagnostics, such as microwave interferometry, a factorof 0.6-0.7 has been found to be more accurate. Note thatEq. (10) predicts a constant Isat, which can happen onlyfor flat probes in which the sheath area cannot expand asthe probe is made more and more negative. In practice,Isat usually has a slope to it. This is because the ion cur-rent has to come from a disturbed volume of plasma (thepresheath) where the ion distribution changes from iso-

1 For detailed references, see F.F. Chen, Electric Probes, in "Plasma Diagnostic Techniques", ed. by R.H.Huddlestone and S.L. Leonard (Academic Press, New York, 1965), Chap. 4, pp. 113-200.

Page 90: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A784

-0.03

0.00

0.03

-160 -140 -120 -100 -80 -60 -40 -20 0 20V

I (m

A)

BRL theoryLinear fitBohm current

ξp = 20

Te = 3 eV, n = 4 x 1012 cm-3

Vf Vs

α = 0.74

Fig. 13. Illustrating the extrapolationof Ii back to the floating potential toget Isat. In this case, the Bohmcoefficient 0.5 in Eq. (10) has to bereplaced by 0.74 to get the rightdensity.

p

a

Vo

Rp

Fig. 14. Definition of impactparameter p.

tropic to unidirectional. If the probe is a disk of radius R,say, the disturbed volume may have a size comparable toR, and would increase as the |Vp| increases. In that case,one can extrapolate Ii back to Vf to get a better measureof Isat before the expansion of the presheath. This is il-lustrated in Fig. 13. Better saturation with a plane probecan be obtained by using a guard ring, a flat washer-shaped disk surrounding the probe but not touching it. Itis biased at the same potential as the probe to keep thefields planar as Vp is varied. The current to the guardring is disregarded. A section of the chamber wall can beisolated to be used as a plane probe with a large guardring.

b) Cylindrical probesi) OML theory. As the negative bias on a probe

is increased to draw Ii, the sheath on cylindrical andspherical probes expands, and Ii does not saturate. For-tunately, the sheath fields fall off rapidly away from theprobe so that exact solutions for Ii(Vp) can be found. Weconsider cylindrical probes here because spherical onesare impractical to make, though the theory for them con-verges better. The simplest theory is the orbital-motion-limited (OML) theory of Langmuir.

Consider ions coming to the attracting probe frominfinity in one direction with velocity v0 and various im-pact parameters p. The plasma potential V is 0 at ∞ andis negative everywhere, varying gently toward the nega-tive probe potential Vp . Conservation of energy and an-gular momentum give

2 20 0

0

½ ½ a a

a

mv mv eV eVpv av

= + ≡ −

= (11)

where eV < 0 and a is the distance of closest approach tothe probe of radius Rp . Solving, we obtain

1/ 22 2

00 0 0

½ ½ 1 , 1a a aa

V v Vmv mv p a aV v V

= + = = +

.(12)

If a ≤ Rp, the ion is collected; thus, the effective proberadius is p(Rp). For monoenergetic particles, the flux to a

2 F.F. Chen, Phys. Plasmas 8, 3029 (2001).3 F.F. Chen, J.D. Evans, and D. Arnush, Phys. Plasmas 9, 1449 (2002)4 I.D. Sudit and F.F. Chen, RF compensated probes for high-density discharges, Plasma Sources Sci. Technol. 3,162 (1994).5 N. Hershkowitz, How Langmuir Probes Work, in Plasma Diagnostics, Vol. 1, Ed. by O. Auciello and D.L. Flamm(Acad. Press, N.Y., 1994), Chap. 3, p. 113.

Page 91: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Diagnostics 85probe of length L is therefore

1/ 202 (1 / )p a rR L V VπΓ = + Γ , (13)

where Γr is the random flux of ions of that energy.Langmuir then extended this result to energy distribu-tions which were Maxwellian at some large distance r = sfrom the probe, where s is the sheath edge. The ran-dom flux Γr is then given by the usual formula

1/ 2

2i

rKTn

Mπ Γ =

. (14)

With Ap defined as the probe area, integrating over allvelocities yields the cumbersome expression

½ ½erf( ) [1 erf ( ) ]p rsA ea

χ χ Γ = Γ Φ + − + Φ

, (15)

where 2

2 2/ , ,p i paeV KT a R

s aχ χ

≡ − Φ ≡ =

− .

Fortunately, there are small factors. In the limit s >> a,when OML theory applies, if at all, we have Φ << χ, andfor Ti → 0, 1/χ <<1. Expanding in Taylor series, we findthat the Ti dependences of χ and Γr cancel, and a finitelimiting value of the OML current exists, independentlyof the value of Ti.

1/ 2

0

| |2i

ppT

eVI A ne

Mπ→

. (16)

Thus, the OML current is proportional to |Vp|1/2, and the I− V curve is a parabola, while the I2 V curve is astraight line. This scaling is the result of conservation ofenergy and angular momentum. Because ions have largeangular momentum at large distances, though they havesmall velocities, they tend to orbit the probe and miss it.The probe voltage draws them in. The value of Ti can-cels out mathematically, but Ti has to be finite for thisphysical mechanism to work.

The OML result, though simple, is very restrictedin applicability. Since the sheath radius s was taken to beinfinite, the density has to be so low that the sheath ismuch larger than the probe. The potential variation V(r)has to be gentle enough that there does not exist an ab-sorption radius inside of which the E-field is so strongthat no ions can escape being collected. Except in verytenuous plasmas, a well developed sheath and an absorp-

Page 92: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A786tion radius exist, and OML theory is inapplicable.Nonetheless, the I2 V dependence of Isat is oftenobserved and is mistakenly taken as evidence of orbitalmotion.

ii) ABR theory. To do a proper sheath theory,one has to solve Poissons equation for the potential V(r)everywhere from the probe surface to r = ∞. Allen,Boyd, and Reynolds (ABR) simplified the problem byassuming ab initio that Ti = 0, so that there are no orbitalmotions at all: the ions are all drawn radially into theprobe. Originally, the ABR theory was only for sphericalprobes, but it was later extended to cylindrical probes byChen1, as follows. Assume that the probe is centered at r= 0 and that the ions start at rest from r = ∞, where V = 0.Poissons equation in cylindrical coordinates is

( ) /0

0

1 , eeV KTe i e

V er n n n n er r r ε

∂ ∂ = − = ∂ ∂ . (17)

To electrons are assumed to be Maxwellian. To find ni,let I be the total ion flux per unit length collected by theprobe. By current continuity, the flux per unit length atany radius r is

( )½/ 2 , where 2 /i i in v I r v eV MπΓ = = = − . (18)

Thus, -1/22

2ii

I eVnv r MπΓ − = =

. (19)

Poissons equation can then be written

-1/2/

00

1 22

eeV KTV e I eVr n er r r r Mε π

∂ ∂ − = − − ∂ ∂ (20)

Defining1/ 2

, es

e

eV KTcKT M

η ≡ − ≡

, (21)

we can write this as

( )-1/2

00

212

e

s

KT e Ir n ee r r r r c

ηηηε π

− ∂ ∂ = − − ∂ ∂

(22)

or

( )-1/20

2 00

212

e

s

KT Ir er r r r n cn e

ηηε ηπ

−∂ ∂ − = − ∂ ∂ . (23)

Page 93: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Diagnostics 87

Fig. 15. ABR curves for η(ξ).

Fig. 16. V−I curves derived from η(ξ).

The Debye length appears on the left-hand side as thenatural length for this equation. We therefore normalizer to λD by defining a new variable ξ:

1/ 20

20

, eD

D

r KTn e

εξ λλ

≡ ≡

. (24)

Eq. (23) now becomes

( )

( )

( )

-1/2

0

-1/2

01/ 22 1/20

0 0

½½

0 0

1 22

1 22

22

2 2

s

D s

e e

e

I er n c

I en c

n eI M en KT KT

eI M eKT n

η

η

η

η

η ξξ η ξξ ξ π

η ξπ λ

η ξπ ε

η ξπ ε

− −

− −

∂ ∂= − ∂ ∂

= −

= −

= −

(25)

Defining1/2

0 02 2e

eI MJKT nπ ε

, (26)

we arrive at the ABR equation for cylindrical probes:

-½J e ηηξ η ξξ ξ

− ∂ ∂= − ∂ ∂

. (27)

For each assumed value of J (normalized probe current),this equation can be integrated from ξ = ∞ to any arbi-trarily small ξ. The point on the curve where ξ = ξp (theprobe radius) gives the probe potential ηp for that valueof J. By computing a family of curves for different J(Fig. 15), one can obtain a J − ηp curve for a probe ofradius ξp by cross-plotting (Fig 16). Of course, both Jand ξp depend on the unknown density n0, which one istrying to determine from the measured current Ii. (KTe issupposed to be known from the electron characteristic.)The extraction of n0 from these universal curves is atrivial matter for a computer. In the graphs the quantityJξp is plotted, since that is independent of n0. Note thatfor small values of ξp, I2 varies linearly with Vp, as inOML theory, but for entirely different reasons, sincethere is no orbiting here.

Page 94: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A788

Fig. 17. Definition of absorptionradius.

Fig. 18. Effective potential seen byions with angular momentum J.

iii) BRL theory. The first probe theory whichaccounted for both sheath formation and orbital motionswas published by Bernstein and Rabinowitz (BR), whoassumed an isotropic distribution of ions of a single en-ergy Ei. This was further refined by Laframboise (L),who extended the calculations to a Maxwellian ion dis-tribution at temperature Ti. The BRL treatment is con-siderably more complicated than the ABR theory. InABR, all ions strike the probe, so the flux at any radiusdepends on the conditions at infinity, regardless of theprobe radius. That is why there is a set of universalcurves. In BRL theory, however, the probe radius mustbe specified beforehand, since those ions that orbit theprobe will contribute twice to the ion density at anygiven radius r, while those that are collected contributeonly once. The ion density must be known before Pois-sons equation can be solved, and clearly this depends onthe presence of the probe. There is an absorption ra-dius (Fig. 17), depending on J, inside of which all ionsare collected. Bernstein solved the problem by express-ing the ion distribution in terms of energy E and angularmomentum J instead of vr and v⊥. Ions with a given Jsee an effective potential barrier between them and theprobe. They must have enough energy to surmount thisbarrier before they can be collected. In Fig. 18, the low-est curve is for ions with J = 0; these simply fall into theprobe. Ions with finite J see a potential hill. With suffi-cient energy, they can climb the hill and fall to the probeon the other side. The dashed line through the maximashows the absorption radius for various values of J. The computation tricky and tedious. It turns outthat KTi makes little difference if Ti/Te < 0.1 or so, as itusually is. Laframboises extension to a Maxwellian iondistribution is not normally necessary; nonetheless, La-framboise gives the most complete results. Fig. 19shows an example of ion saturation curves from the BRLtheory. One sees that for large probes (Rp/λD >>1) theion current saturates well, since the sheath is thin. Forsmall Rp/λD, Ii grows with increasing Vp as the sheath ra-dius increases.

One might think that the ABR result would berecovered if takes Ti = 0 or Ei = 0 in the BRL computa-tion. However, this happens only for spherical probes.For cylindrical probes, there is a problem of nonuniformconvergence. Since the angular momentum is Mvr, for r→ ∞ ions with zero thermal velocity have J = (M)(0)(∞),an indeterminate form. The correct treatment is to calcu-late the probe current for Ti > 0 and then take the limit Ti

Page 95: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Diagnostics 89

Fig. 19. Laframboise curves for Ii − Vcharacteristics in dimensionless units,in the limit of cold ions. Each curve isfor a different ratio Rp/λD.

0

1

2

3

4

5

6

200 400 600 800 100Prf (W )

Den

sity

(1011

cm

-3)

BRLmW aveBRL*ABRABR

Fig. 20. Comparison of n measuredwith microwaves with probes usingtwo different probe theories.

0.0

0.5

1.0

1.5

2.0

2.5

-70 -60 -50 -40 -30 -20 -10 0 10V

I (m

A)4/

3

Fig. 21. Extrapolation to get Ii at Vf.

0

1

2

3

4

5

6

300 450 600 750 900Prf (W)

n (1

011cm

-3)

Ne(MW)Ni(CL)Ni(ABR)Ne,sat

10 mTorr

Fig. 22. Comparison of microwaveand probe densities using the floatingpotential method (CL), ABR theory,and Ie,sat.

→ 0, as BRL have done. The BRL predictions have beenborne out in experiments in fully ionized plasmas, butnot in partially ionized ones.

iv) Comparison among theories. It is not rea-sonable to reproduce the ABR or BRL computationseach time one makes a probe measurement. Chen2 hassolved this problem by parametrizing the ABR and BRLcurves so that the Ii − V curve can be easily be created forany value of Rp/λD. One can then compute the plasmadensity from the probe data using the ABR and BRLtheories and compare with the density measured with mi-crowave interferometry. Such a comparison is shown inFig. 20. One sees that the ABR theory predicts too low adensity because orbiting is neglected, and therefore thepredicted current is too high and the measured current isidentified with a lower density. Conversely, BRL theorypredicts too high a density because it assumes more or-biting than actually occurs, so that the measured currentis identified with a high density. This effect occurs inpartially ionized plasmas because the ions suffer charge-exchange collisions far from the probe, outside thesheath, thus losing their angular momentum. The BRLtheory assumes that the ions retain their angular mo-mentum all the way in from infinity. One might expectthe real density to lie in between, and indeed, it agreesquite well with the geometric mean of the BRL and ABRdensities.

Treating the charge-exchange collisions rigor-ously in the presheath would be an immense problem,but recently Chen et al.3 have found an even easier, for-tuitous, way to estimate the plasma density in ICPs andother processing discharges. The method relies on find-ing the ion current at floating potential Vf by extrapolat-ing on a graph of Ii

4/3 vs. Vp, as shown in Fig. 21. Thepower 4/3 is chosen because it usually leads to a straightline graph. At Vp = Vf, let the sheath thickness d be givenby the Child-Langmuir formula of Eq. (A4-7) with V0 =Vf. The sheath area is then A = 2π(Rp+d)L. If the ionsenter the sheath at velocity cs with density ns = ½n0cs, theion current is Ii = ½n0eAcs, and n can easily be calculatedfrom the extrapolated value of Ii(Vf). Note that if Rp <<d, Eq. (A4-7) predicts the Ii

4/3 − Vp dependence (but thisis accidental). (Since d ∝ λD ∝ n−1/2, n has to be foundby iteration or by solving a quadratic equation.) Thedensity in a 10 mTorr ICP discharge is shown in Fig. 22,compared to n measured by microwaves, by probes usingthe ABR theory, or by probes using the saturation elec-tron current. The Vf(CL) method fits best, though the fit

Page 96: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A790

Fig. 23. EEDF curves obtained with aLangmuir probe in a TCP discharge[Godyak et al. J. Appl. Phys. 85,3081, (1999)].

is not always this good. The OML theory (not shown)also fits poorly. Though this is a fast and easy method tointerpret Isat curves, it is hard to justify because the CLformula of Eq. (A4-7) applies to planes, not cylinders,and the Debye sheath thickness has been neglected, aswell as orbiting and collisions. This simple-minded ap-proach apparently works because the neglected effectscancel one another. From the preceding discussion, it isclear that the rigorous theories, ABR and BRL, can errby a factor of 2 or more in the value of n in partiallyionized plasmas. There are heuristic methods, but thesemay not work in all conditions. It is difficult for Lang-muir probes to give a value of n accurate to better than10−20%; fortunately, such accuracy is not often required.

6. Distribution functionsSince the ion current is insensitive to Ti, Lang-

muir probes cannot measure ion temperature, and cer-tainly not the ion velocity distribution. However, carefulmeasurement of the transition region of the I − V char-acteristic can reveal the electron distribution if it is iso-tropic. If the probe surface is a plane perpendicular to x,the electron flux entering the sheath depends only on thex component of velocity, vx. For instance, the Maxwelldistribution for vx is

2 2 21(v ) exp( v / v ), v 2 /vM x x th th e

thf KT m

π

= − ≡

.

(28)

The coefficient normalizes f(v) so that its integral over allvxs is unity. If f(v) is not Maxwellian, it will have an-other form and another coefficient in front. The electroncurrent that can get over the Coulomb barrier and becollected by the probe will therefore be

min

2min

vv (v ) v , ½ v ( )e x x x s p pI eAn f d m e V V eV

∞= = − = −∫

(29)

where vmin is the minimum energy of an electron that canreach the probe, and Vs = 0 by definition. Taking the de-rivative and simplifying, we find

min

min

v

v =v

vv (v )

vv (v )x

e xx x p

p p p

xx x

p

dI ddeAn f dVdV dV dV

deAn fdV

∞=

= −

Page 97: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Diagnostics 91

Fig. 24. An I V curve of a bi-Maxwellian EEDF.

-20 -10 0 10 20 30Vp - Vs

Ele

ctro

n cu

rrent

(a)

-0.1

0.0

0.1

0.2

0.3

0.4

0.5

-20 -15 -10 -5 0 5 10eV/KTe

Ele

ctro

n C

urre

nt 0 5 10 15

Vrf V)

Te = 3 eVHelium

(b)

Fig. 25 . (a) The center curve is thecorrect I V curve. The dashed onesare displaced by ±5V, representingchanges in Vs. At the vertical lines,the average Ie between the displacedcurves is shown by the dot. The linethrough the dots is the time-averagedI V curve that would be observed,differing greatly from the correctcurve. (b) Computed I V curves forsinusoidal Vs oscillations of variousamplitudes.

min

2min

v vv (v ) (v )

vx

ex x

p x

dI e neeAn f A fdV m m=

−= − = ,(30)

so that f(vx) can be found from the first derivative of the I V curve. If the probe is not flat, however, one has totake the three-dimensional distribution g(v) = 4πv2f(v),where v is the absolute value |v| of the velocity, and takeinto account the various angles if incidence. Withoutgoing into the details, we then find, surprisingly, that f(v)is proportional to the second derivative of the I Vcurve:

2

2 (v)e

p

d I fdV

∝ (31)

This result is valid for any convex probe shape as long asthe distribution is isotropic, and for any anisotropic dis-tribution if the probe is spherical. To differentiate I Vdata twice will yield noisy results unless a good deal ofsmoothing is employed. Alternatively, one can dither theprobe voltage by modulating it at a low frequency, andthe signal at the dither frequency will be proportional tothe first derivative. In that case, only one further deriva-tive has to be taken to get f(v). Figure 23 is an exampleof non-Maxwellian f(v)s obtained by double differentia-tion with digital filtering.

In special cases where the EEDF consists of twoMaxwellians with well separated temperatures, the twoKTes can be obtained by straight-line fits on the semilogI V curve without complicated analysis. An example ofthis is shown in Fig. 24.

7. RF compensationLangmuir probes used in RF plasma sources are

subject to RF pickup which can greatly distort the I Vcharacteristic and give erroneous results. ECR sourceswhich operate in the microwave regime do not have thistrouble because the frequency is so high that it is com-pletely decoupled from the circuitry, and the measuredcurrents are the same as in a DC discharge. However, inRF plasmas, the space potential can fluctuate is such away that the circuitry responds incorrectly. The problemis that the I V characteristic is nonlinear. The V isactually the potential difference Vp − Vs , where Vp is aDC potential applied to the probe, and Vs is a potentialthat can fluctuate at the RF frequency and its harmonics.If one displaces the I V curve horizontally back and

Page 98: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A792

Fig. 26. Design of a dogleg probe.

Fig. 27. I V curves taken with andwithout an auxiliary electrode.

forth around a center value V0, the average current Imeasured will not be I(V0), since I varies exponentially inthe transition region and also changes slope rapidly as itenters the ion and electron saturation regions. The effectof this is to make the I V curve wider, leading to afalsely high value of Te and shifting the floating potentialVf to a more negative value. This is illustrated in Fig. 25.

Several methods are available to correct for this.One is to tap off a sinusoidal RF signal from the powersupply and mix this with the probe signal with variablephase and amplitude. When the resultant I V curvegives the lowest value of Te, one has probably simulatedthe Vs oscillations. This method has the disadvantagethat the Vs oscillations can contain more than one har-monic. A second method is to measure the Vs oscilla-tions with another probe or section of the wall which isfloating, and add that signal to the probe current signalwith variable phase and amplitude. The problem withthis method is that the Vs fluctuations are generally notthe same everywhere. A third method is to isolate theprobe tip from the rest of the circuit with an RF choke(inductor), so that the probe tip is floating at RF frequen-cies but is fixed at the DC probe bias at low frequencies.The problem is that the probe tip does not draw enoughcurrent to fill the stray capacitances that connect it toground at RF frequencies. One way is to place a largeslug of metal inside the insulator between the probe tipand the chokes. This metal slug has a large area andtherefore picks up enough charge from the Vs oscillationsto drive the probe tip to follow them. However, we havefound4 that the best way is to use an external floatingelectrode, which could be a few turns of wire around theprobe insulator, and connect it through a capacitor to apoint between the probe tip and the chokes. The chargecollected by this comparatively large probe is then suf-ficient to drive the probe tip so that Vp - Vs remains con-stant. Note that this auxiliary electrode supplies only theRF voltage; the dc part is still supplied by the externalpower supply. The design of the chokes is also critical:they must have high enough Q to present a resonantlyhigh impedance at both the fundamental and the secondharmonic of the RF frequency. This is the reason thereare two pairs of chokes in Fig. 9. One pair is resonant atω, and the other at 2ω. Two chokes are used in series toincrease the Q. A compromise has to be made betweenhigh Q and small physical size of the chokes. Figure 26shows a dogleg design, which permits scans in two di-rections. Figure 27 shows an I V curve taken with andwithout the auxiliary electrode, showing that the chokes

Page 99: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Diagnostics 93

VR

Fig. 28. A double probe.

VR

Fig. 29. A hot probe.

themselves are usually insufficient. Without proper RF compensation, Langmuirprobe data in RF discharges can give spurious data on Te,Vf, and f(v). However, if one needs to find only theplasma density, the probe can be biased so that V neverleaves the ion saturation region, which is linear enoughthat the average Isat will be the correct value.

8. Double probes and hot probes5

When Vs fluctuates slowly, one can use themethod of double probes, in which two identical probesare inserted into the plasma in close proximity, and thecurrent from one to the other is measured as a function ofthe voltage difference between them. The I V charac-teristic is then symmetrical and limited to the region be-tween the Isats on each probe. If the probe array floatsup and down with the RF oscillations, the I V curveshould not be distorted. This method does not work wellin RF plasmas because it is almost impossible to makethe whole two-probe system float at RF frequencies be-cause of the large stray capacitance to ground. Even ifboth tips are RF compensated, the RF impedances mustbe identical. Hot probes are small filaments that can be heatedto emit electrons. These electrons, which have very lowenergies corresponding to the KT of the filament, cannotleave the probe as long as Vp − Vs is positive. As soon asVp − Vs goes negative, however, the thermionic currentleaves the probe, and the probe current is dominated bythis rather than by the ion current. Where the I V curvecrosses the x axis, therefore, is a good measure of Vs.The voltage applied to the filament to heat it can beeliminated by turning it off and taking the probe data be-fore the filament cools. One can also heat the probe bybombarding it with ions at a very large negative Vp, andthen switching this voltage off before the measurement.In general it is tricky to make hot probes small enough.For further information on these techniques and on be-havior of Langmuir probes in RF plasmas, the reader isreferred to the chapter by Hershkowitz5.

XIII. OTHER LOCAL DIAGNOSTICS

1. Magnetic probesa) Principle of operation. Fluctuating RF mag-

netic fields inside the plasma can be measured with amagnetic probe, which is a small coil of wire, perhaps 2mm in diameter, covered with glass or ceramic so as toprotect it from direct exposure to charged particles.

Page 100: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A794

Fig. 30. A magnetic probe with abalun transformer.

When the coil is placed in a time-varying magnetic fieldB, an electric field is induced along the wire accordingto Faradays Law:

/d dt∇ × = −E B . (32)

Integrating this over the surface enclosed by the coil withthe help of Stokes theorem to convert the surface inte-gral to a line integral, we obtain

( ) inddS dS d V⋅ ≡ Φ = − ∇ × ⋅ = − ⋅ ≡ −∫ ∫ ∫B E E! ! " . (33)

Here the line integral is along the wire in the coil and Φis the magnetic flux through the coil, which is ≈BA,where A is the area of the coil. The induced voltage Vindis measured by a high-impedance device like an oscillo-scope. If there are N turns in the coil, the voltage will beN times higher; hence,

indV NAB= − ! (34)

The dot indicates the time-derivative and is the origin ofthe name B-dot probe. The minus sign indicates thatthe induced electric field is in the opposite direction tothat obtained when the right-hand rule is applied to B.For a sinusoidal signal, B-dot is proportional to ωB, sothat the probe is more sensitive to higher frequencies. Toobtain B from the measured Vind, one can use a simpleintegrator consisting of a resistor and a capacitor toground to obtain

1indB V dt

NA= − ∫ . (35)

One only has to be sure that the RC time constant of theintegrator is much longer than the period of the signal.

b) Construction. The probe itself can be assimple as ten turns of thin wire wound on a core ma-chined out of boron nitride. The coil can be placed in-side a ceramic tube or a closed glass tube. Such a tube isnecessarily larger than a Langmuir probe shaft and maydisturb the plasma downstream from the source. If theaxis of the coil is parallel to the tube, the component of Bparallel to the shaft will be measured. If the coil axis isperpendicular to the shaft, one can change from Br to Bθ

measurement by rotating the shaft by 90°. Sometimesthree coils are mounted in the same shaft to measure allthree B components at the same time.

Page 101: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Diagnostics 95

V

s

G1 G2 G3

C

Fig. 31. A gridded energy analyzer.

The difficult part is to take the signal out throughthe probe shaft without engendering too much RFpickup. One way is to use a very thin rigid coax, whichis then connected to the scope with a 50-Ω cable. Thecoil in this case can be a single turn formed from thecenter conductor looped around and soldered to the con-ducting shield. If the shaft has to traverse a long paththrough the plasma, a better way is to use a multi-turncoil to increase the signal voltage, and then bring the twoends of the coils through the shaft with a twisted pair ofwires. Outside the plasma, the wires are connected to abalun (balanced-to-unbalanced) 1-to-1 transformer sothat the signal can be carried to the scope with an unbal-anced line. Such a probe is shown in Fig. 30. The trans-former can also have a turns ratio that amplifies the sig-nal voltage. With magnetic probes there is always thedanger of capacitive pickup through the insulators. Onecan check this by rotating the probe 180°. The magneticsignal should be the same in magnitude but shifted 180°in phase, while the capacitive signal would be the samein both orientations. Whether or not the probe and leadsshould be shielded with slotted conductors is a matter ofexperimentation; the shield can help or actually make thepickup worse.

2. Energy analyzersGridded energy analyzers are used to obtain bet-

ter data for ion and electron energy distributions than canbe obtained with Langmuir probes. However, these in-struments are necessarily largeat least 1 cubic centi-meter in volumeand will disturb the plasma down-stream from them. A standard gridded analyzer has fourgrids: 1) a grounded or floating outer grid to isolate theanalyzer from the plasma, 2) a grid with positive ornegative potential to repel the unwanted species, 3) asolid collector with variable potential connected to thecurrent measuring device, and 4) a suppressor grid infront of the collector to repel secondary electrons. InFig. 31, s is the sheath edge. Grid G1, whether floatingor grounded, will be negative with respect to the plasma,and therefore will repel all electrons except the most en-ergetic ones. One cannot bias this grid positively, sinceit will then draw so much electron current that the plasmawill be disturbed. It is sometime omitted in order to allowslower electrons to enter the analyzer. Grid G1 alsoserves to attenuate the flux of plasma into the analyzer sothat the Debye length is not so short there that subse-quent grid wires will be shielded out. In the space be-

Page 102: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part A796

Fig. 32. Energy analyzer with onlyone grid and a collector

co p p er fo il

Fig. 33. Construction of an RF cur-rent probe.

hind Grid G1, there will be a distribution of ions whichhave been accelerated by the sheath but which still hasthe original relative energy distribution (unless it hasbeen degraded by scattering off the grid wires). Theseare neutralized by electrons that have also come throughG1. These electrons also have the original relative en-ergy distribution, but they all have been decelerated bythe sheath. Grid G2 is set positive to repel ions andnegative to repel electrons. For example, to obtain fi(v),we would set G2 sufficiently negative (V2) to repel all theelectrons. The ions will then be further accelerated to-ward the collector. This collecting plate C, at Vc, wouldcollect all the ion current if it were at the same potentialas V2. By biasing it more and more positive relative toV2, only the most energetic ions would be collected. Thecurve of I vs. Vc would then give fi(v) when it is differ-entiated. When ions strike the collector, secondary elec-trons can be emitted, and these will be accelerated awayfrom the collector by the field between C and G2, leadingto a false enhancement of the apparent ion current. Toprevent this, Grid G3 is fixed at a small negative poten-tial (about −2V) relative to C) so that these electrons areturned back. Variations to this standard configuration arealso possible.

In a plasma with RF fluctuations, energy analyz-ers would suffer from nonlinear averaging, just as Lang-muir probes do. Because of their large size, and there-fore stray capacitance, it would not be practical to drivethe grids of an energy analyzer to follow changes inplasma potential at the RF frequency. However, one candesign the circuitry to be fast enough to follow the RFand then record the oscillations in collected current as afunction of time during each RF cycle. By selecting datafrom the same RF phase to perform the analysis, one can,in principle, obtain the true energy distribution. Thistechnique cannot be used for Langmuir probes, becausethe currents there are so small that the required frequencyresponse cannot be obtained. RF-sensitive energy ana-lyzers have been made successfully by at least twogroups; one such analyzer is shown in Fig. 32.

3. RF current probeCurrent probes, sometimes called Rogowski coils,

are coils of wire wound on a toroidal coil form shapedlike a Life Saver. Figure 33 shows such a coil. Currentpassing through the hole induces a magnetic field in theazimuthal direction, and this, in turn, induces a voltage inthe turns of wire. The current driven through the wire is

Return loop

Faraday shield

Page 103: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Diagnostics 97

Fig. 34. Schematic of a POP[Shirakawa and Sugai, Jpn. J. Appl.Phys. 32, 5129 (1993)].

Fig. 35. Peak at ωp (at the right) movesas Prf is increased [ibid.].

then measured in an external circuit. The coil must take areturn loop the long way around the torus to cancel theB-dot pickup that is induced by B-fields that thread thehole. Current probes are usually large and can be boughtas attachments to an oscilloscope, but these are unsuit-able for insertion into a plasma. The probe shown here isnot only small (~1 cm diam) but is also made for RF fre-quencies. It is covered with a Faraday shield to reduceelectrostatic pickup, and the windings are carefully cali-brated so that the B-dot and E-dot signals are small com-pared with the J-dot signal. An example of a J-dotmeasurement was shown in Fig A6-17.

4. Plasma oscillation probeWhen used in a plasma processing reactor,

Langmuir probes tend to get covered with insulatingcoatings so that they can no longer properly measure dccurrent. A plasma oscillation probe avoids this by meas-uring only ac signals, which can pass capacitivelythrough the coatings. A filament, like a hot probe (Fig.34), is heated to emission and biased to ~100V nega-tively to send an electron beam into the plasma. Such abeam excites plasma waves near ωp. These high-frequency oscillations are picked up by a probe and ob-served on a spectrum analyzer. If a peak in the responsecan be detected (Fig. 35), it will likely be near ω = ωp,and this gives the plasma density. Various spurious ef-fects, such as multiple peaks or surface waves, can causethe resonant ω to differ from ωp, but when the signal isclear, a good estimate of n can be obtained.

Page 104: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Overview of Plasma Processing in Microelectronics Fabrication 99

0.35µ (1,200,000) 35mm2

0.25µ (9,000,000) 78mm2

0.18µ (37,000,000)120mm2

Am486

AMD-K6-2

AMD-Athlon

Courtesy of AMD (SDC Director John Caffal)

0.35µ (1,200,000) 35mm2

0.25µ (9,000,000) 78mm2

0.18µ (37,000,000)120mm2

Am486

AMD-K6-2

AMD-Athlon

0.35µ (1,200,000) 35mm2

0.25µ (9,000,000) 78mm2

0.18µ (37,000,000)120mm2

Am486

AMD-K6-2

AMD-Athlon

Courtesy of AMD (SDC Director John Caffal)

Fig. 1. The evoluation of microprocessorsshown with the device critical dimension andthe packing density.

Plasma

TCP Coil

Wafer

Chamber

Dielectricwindow

BottomElectrode

Plasmasheath

13.56 MHz

13.56 MHz

Gas inlet

TCP Coil

Dielectricwindow

Fig. 2. A schematic diagram of a high densitytransformer coupled plasma (TCP) reactor.

PRINCIPLES OF PLASMA PROCESSINGCourse Notes: Prof. J. P. Chang

PART B1: OVERVIEW OF PLASMA PROCESSING INMICROELECTRONICS FABRICATION

I. PLASMA PROCESSINGPlasma processing is the most widely used

chemical process in microelectronic industry for thinfilm deposition and etching. Its application extendsto surface cleaning and modification, flat paneldisplay fabrication, plasma spary, plasma micro-discharge and many other rapidly growing areas.The fundamental understanding of plasma processesis now sufficient that plasma models are emerging astools for developing new plasma equipment andprocess, as well as diagnosing process difficulties.In addition, plasma diagnostics are now beingimplemented as process monitors, endpointdetectors, and process controllers to improveprocessing flexibility and reliability.

Take plasma etching processes for example,high density plasma reactors have been developed toaddress the challenges in patterning features lessthan 0.25 µm with high aspect ratios (Fig. 1). Thechallenges include maintaining etching uniformity,etching selectivity, high etching rate, and reducingthe substrate damage. Various high density plasmasources such as transformer coupled plasma (TCP)and electron cyclotron resonance (ECR) reactorshave been developed to achieve high fidelity patterntransfer for manufacturing of ultra large scaleintegrated (ULSI) electronic devices (Fig. 2).

In a transformer coupled plasma (TCP)reactor, a spiral planar inductive coil is mounted ona dielectric window on the reactor. Plasma isgenerated by coupling the oscillating radiofrequency (rf) magnetic field (13.56 MHz)inductively. Plasma sheath, a dark space betweenplasma and the electrodes, is developed due to thedifferent mobility of electrons and ions. The bottomelectrode can be powered by a separate rf source tocontrol the ion bombardment energy. The energeticions and reactive neutrals produced are highlyreactive, thereby facilitating surface (and/or gasphase) reactions with lower activation energies, andenhance greatly the reaction kinetics.

In plasma processes for the fabrication ofmicroelectronics, DC or rf glow discharges are used

Page 105: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B1100

Photoresist

UV

Mask

SubstrateThin film

plasma

plasma

Thin film deposition

Photoresist coating & development

Thin film etching

Photoresist ashing

Photoresist

UV

Mask

SubstrateThin film

plasma

plasma

Thin film deposition

Photoresist coating & development

Thin film etching

Photoresist ashing

Fig. 3. Photolithography process flow.

Table 1. Typical operating ranges of a glowdischarge used in microelectronic fabrication.

Property Range

Pressure 0.001-10 torrElectron density Low density 109-1011 cm-3

High density 1011-1013 cm-3

Average electron energy 1-10 eVAverage neutral/ion energy 0.025-0.05 eVIonized fraction of gas Low density 10-7-10-5

High density 10-3-10-1

Neutral diffusivity 20-20,000 cm2/sFree radical density 5-90%Power dissipation 0.1-10 W/cm2

to etch, deposit, sputter, or otherwise alter the wafersurfaces. These plasmas produce highly reactiveneutrals and ions at low temperatures by theintroduction of energy into the plasma through itsfree electrons that in turn collide with the neutral gasmolecules.

II. APPLICATIONS IN MICROELECTRONICSPlasmas are used in several major

microelectronics processes: sputtering, plasma-enhanced chemical vapor deposition (PECVD),plasma etching, ashing, implantation, and surfacecleaning/modification, each is described below and afew process steps are shown schematically in Fig. 3:Deposition:

- Semiconductor (silicon)- Metal (aluminum, copper, alloys)- Dielectric (silicon dioxide, silicon nitride,

metal oxides, low-k dielectrics)Etching:

- Semiconductor (silicon)- Metal (aluminum, copper, alloys)- Dielectric (silicon dioxide, silicon nitride,

metal oxides, low-k dielectrics)Ashing:

- Photoresist removal- Photoresist trimming

Implantation:- Dopant ion implant (B+, P+, As+ etc.)

Surface Cleaning / Modification:- Contamination removal- Modification of surface termination

In each the plasma is used as a source of ions and/orreactive neutrals, and is sustained in a reactor so asto control the flux of neutrals and ions to a surface.The typical ranges of properties for a glow dischargeused in microelectronic fabrication are as shown inTable 1.

In sputtering processes (Fig. 4), ions areextracted from a plasma, accelerated by an electricfield, and impinge upon a target electrode composedof the material to be deposited. The bombardingions dissipate their energy by sputtering processes inwhich the surface atoms are ejected primarily bymomentum transfer in collision cascades. Theejected atoms are deposited upon wafers that areplaced within line-of-sight of the target electrode,thus facilitating the vapor transport of material

Page 106: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Overview of Plasma Processing in Microelectronics Fabrication 101

Tasolid + Ar+ → Tagas → Tafilm

Ta

Si

Ar

Tasolid + Ar+ → Tagas → Tafilm

Ta

Si

Ar

Fig. 4. Sputtering deposition process

feature

Silicon

sheath

Si

E

e-e- e-

e-

e-

e-

+

+ +

+++

+ +

−− ++→+ eHCOHHOCSieHOCSi 42352452 )()()(

OHCOHHOCSiOHHOCSiO 422252352 )()()()( +→+

−− +→+ eOeO 22

feature

Silicon

sheath

SiSi

E

e-e- e-

e-

e-

e-

++

++ ++

++++++

++ ++

−− ++→+ eHCOHHOCSieHOCSi 42352452 )()()(

OHCOHHOCSiOHHOCSiO 422252352 )()()()( +→+

−− +→+ eOeO 22

Fig. 5. A plasma-enhanced chemical vapordeposition process.

without appreciably heating either the targetelectrode or the wafer on which the film isdeposited.

Plasma enhanced chemical vapor depositionuses a discharge to reduce the temperature at whichfilms can be deposited from gaseous reactantsthrough the creation of free radicals and otherexcited species that react at lower temperatureswithin the gas-phase and on the surface (Fig. 5).The quality of the deposited film often can beimproved by the use of the plasma ion flux to cleanthe surface before the deposition begins and byheating during processing. In addition, the ion fluxcan alter the film during deposition by cleaning,enhancing the mobility of adsorbed species, etc.

In plasma etching, as shown in Fig. 6, theplasma produces both highly reactive neutrals (e.g.,atomic chlorine) and ions that bombard the surfacebeing etched. The neutrals react with the surface toproduce volatile species that desorb and are pumpedaway. Ion bombardment often increases the etchingrate by removing surface contaminants that blockthe etching or by directly enhancing the kinetics ofthe etching. Ultra large scale integration (ULSI)requires the etching of films with thicknesscomparable to their lateral feature dimensions.Directional plasma etching processes must be usedto pattern such features to obtain the necessaryfidelity of pattern transfer. Wet etching processes(which use aqueous acids or bases) and chemicalbased plasma etching processes are typicallyisotropic, and produce undercutting of the pattern atleast equal to the film thickness.

An ideal plasma etching process requiresperfect pattern transfer by anisotropic (directional)etching of polysilicon (the portion not protected bythe photoresist), and no etching of either photoresistor silicon dioxide upon ion bombardment (infiniteselectivity). This typically requires highlydirectional ions and minimal spontaneous etching ofpolysilicon by reactive neutrals.

In reality, many non-ideal factors includingtransport of reactive species into the feature andinteractions of reactive species with the surfaceaffect etched profiles. For example, ions undergocollisions across the sheath, bear a finite angulardistribution, and affect the etching anisotropy. Asthe aspect ratio (depth/width) of the feature

Page 107: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B1102bulk plasma

mask

poly-Si

oxide

sheath

SiO2

E

e-e- e-

e-

e-

e-

+

SiCl4

+ +

+++ + +

e_

Cl+

Cl

Cl2

SiCl2

+

↑→++

44 SiClClSi Cl

bulk plasma

mask

poly-Si

oxide

sheath

SiO2SiO2

E

e-e- e-

e-

e-

e-

++

SiCl4

++ ++

++++++ ++ ++

e_

Cl+

Cl

Cl2

SiCl2

++

↑→++

44 SiClClSi Cl

Fig. 6. Chlorine ion-enhanced etching ofphotoresist patterned polysilicon. The majorreactive species in plasma include energeticchlorine ions (Cl+) and reactive neutrals (Cl,Cl2, SiCl2).

Silicon

sheath

Si

E

e-e- e-

e-

e-

e-

+

+ +

+++

+ +

−− +→+ eOeO 22

OHCOOsist 22 +→+Re

Silicon

sheath

Si

SiSi

E

e-e- e-

e-

e-

e-

++

++ ++

++++++

++ ++

−− +→+ eOeO 22

OHCOOsist 22 +→+Re

Fig. 7. An oxygen plasma ashing photoresistprocess.

increases, shadowing effect of the neutral speciesdue to their non-zero reaction probabilities on thesidewall of the feature can cause concentrationgradients within the feature and significantly alterthe etching profiles and the etching uniformity. Theetching products or by-products with high stickingprobabilities can deposit on the surfaces within thefeature and alter the profile evolution. Specifically,etching of photoresists or electron impactdissociation of the etching products (SiCl4) lead tothe formation of carbonaceous contamination andSiCl2 that form passivation layers in the feature andprevent the sidewall from being etched. The balancebetween simultaneous etching and depositioncontrols the overall profile topography changeduring plasma etching processes. Moreover,shadowing of the isotropic electrons and positivecharging on the silicon dioxide surface in etchinghigh aspect ratio (width/depth) features can build upan electrical field on the oxide surface to distort theion trajectory. These etching phenomena are highlyconvoluted and a thorough understanding of thefundamental mechanisms by which the etchinganisotropy is achieved is required to develop rapid,directional, high resolution and damage-free etchingprocesses.

Photoresist ashing is typically done with anoxygen plasma, as shown in Fig. 7. Resist trimmingallows finer feature definition and will be detailed inthe Epilogue. Other processes including ionimplantation, surface modification, and surfacepreparation will be discussed in the later part of thiscourse.

Major References for Part B1. H. H. Sawin, Plasma processing for microelectronic

fabrication, Lecture notes, MIT (1996).2. B. Chapman, Glow discharge processes, Wiley

(1980).3. M. A. Lieberman and A. J. Lichtenberg, Principles of

plasma discharges and materials processing, Wiley(1994).

4. J. R. Hollahan and A. T. Bell, Fundamentals ofplasma chemistry: Techniques and applications ofplasma chemistry, Wiley (1974).

5. J. F. OHanlon, A Users guide to vacuumtechnology, Wiley (1989).

Page 108: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Kinetic Theory and Collisions 103

dx

dy

dz

dx

dvx

(a) (b)

dx

dy

dz

dx

dy

dz

dx

dvx

(a) (b)

Fig. 1. Differential volume for electronbalance: (a) three-dimensional x-y-z phasespace, (b) one-dimensional x-vx phase space.The solid arrows indicate particles enteringthe differential volume, while the dottedarrows indicate particles leaving thedifferential volume.

PRINCIPLES OF PLASMA PROCESSINGCourse Notes: Prof. J. P. Chang

PART B2: KINETIC THEORY AND COLLISIONS

I. KINETIC THEORYThe particle velocity distribution is critical in

plasma science, and can be derived from the Boltz-mann transport equation. The transport propertiesof the electrons and the ions can be derived oncetheir velocity distributions are determined. As de-scribed below, the electron-velocity distribution is afunction of the strength of the applied electric fieldthat sustains the plasma, and the collisions of neutraland charged species within the plasma. The elec-tron-velocity distribution function, ( ), ,ef tr v , repre-sents the concentration of electrons at a particularposition in space, r , that have a velocity vector, v ,at a given time, t (Fig. 1). Thus, the number of elec-trons at a position r and t is

( , ) en t f d∞

−∞

= ∫r v (1)

where dv represents a triple integration over thethree coordinates of velocity space. The averagevalue of a property, ( , )φ r v , of the electrons can becalculated as

en f dφ φ∞

−∞

< >= ∫ v (2)

For example, the mean velocity ( , )tv r is:_

e-

1< v > = v = f dn

∞∫ v v (3)

and the particle flux is:

( , ) et n f d∞

−∞

Γ = = ∫r v v v (4)

We will learn how to determine these terms verysoon.

The Boltzmann transport equation is an elec-tron balance over a differential volume of the realand velocity spaces, defined by d dr v :

e er e v e

c

f ff ft t

∂ ∂ + ⋅∇ + ⋅∇ = ∂ ∂ v a (5)

The first term represents the unsteady-state fluctua-tions in the element, i.e., the change in the number

Page 109: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B2104of electrons within the volume that have a certainvelocity. The second term represents the convectiveflux of electrons in space due to the net number ofelectrons lost or gained by movement of electrons toand from the volume. The third term is the convec-tive flux of electrons from the volume caused bytheir coupling to the electric field, due to their accel-eration by the electric field. If there is only an elec-

tric field, we can write a as emE . The right-hand-

side term accounts for the transfer of electrons toand from the differential volume by collisions. Thecollisions can be quantified as:

( )0

2 sinee T e T R c

c

f d f f f f v dt

π

σ π θ θ∂ ′ ′= − ∂ ∫ ∫v (6)

where electron from distribution fe has a velocity vand a target particle T from distribution fT has a ve-locity of vT. After the collision, the particles arescattered to the primed velocities in the primed dis-tributions. Note that vR is the relative velocity (=|v-vT|), σc is the collision cross-section, and θ is thescattering angle. The product fefT is associated withthe particles before collision and e Tf f′ ′ are the dis-tributions after a collision has occurred. The colli-sion event, thus, can either remove electrons from agiven differential volume or promote them by thereverse process.

To solve the Boltzman equation and obtain theelectron energy distribution function (EEDF), theelectron distribution can be broken into isotropic andanisotropic terms, as shown in equation (7). Theisotropic term i

ef represents all the electrons thathave random direction and a velocity distributionthat is invariant with direction. The anisotropic term

aef represents those electrons that have a favored

direction. It is largest when v is in the direction ofthe gradient and smallest when v is perpendicular tothe gradient.

i ae ef f

v= + ⋅

vef (7)

Assuming that there is only an electric field, we cansubstitute this expression for fe into the Boltzmanntransport equation and get the following two equa-tions:

Page 110: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Kinetic Theory and Collisions 105

(8)

( )223 3

i i i ia ae e e e

rcc elastic inelastic

f f f fe vt mv v t t t

∂ ∂ ∂ ∂∂+ ∇ ⋅ − = + + ∂ ∂ ∂ ∂ ∂

v Ee ef f

a i a a ai e

r ecc elastic inelastic

fev ft m v t t t

∂ ∂ ∂ ∂ ∂+ ∇ − = + + ∂ ∂ ∂ ∂ ∂

Ee e e ef f f f (9)

Here the collisional term has been expanded tothe right-hand-side terms that represent the coulom-bic, elastic, and inelastic collision loss terms. Thecoulombic interaction term is significant only whenthe fraction of ionization within the plasma is greaterthan 10-5. The elastic collisions between the elec-trons and the neutrals lead to a general heating of theneutrals, but result in little energy exchange due tothe large difference in particle masses. They ac-count for a significant randomization of electron an-gular velocity distribution, and therefore greatly re-duce the anisotropic nature of the electron velocitydistribution. The inelastic collisions account forvery little of the transport properties of the electrons.They do, however, have a major effect on the distri-bution of the electron velocities, and on the physicaland chemical properties of the plasma. It is the ine-lastic collisions that are responsible for the genera-tion of excited species and ions. The detailed formsof these collisional terms can be found in the refer-ence by Hollahan and Bell.

The solutions to these two equations are com-plex, therefore proper assumptions are often used toobtain much simpler solutions for analysis. First, weassume that an alternating electric field, i t

oE e ω− , isimposed on a homogeneous plasma. From equation(9), we further assume that the coulombic and ine-lastic collisions are not important, the elastic colli-sion term is a

m eν− f , and the time dependence of aef

is given by i te ω− . Note that ( )m mNv vν σ= is themomentum transfer frequency for electrons and ω isthe frequency of the field. The anisotropic term inthe distribution function can then be derived to be:

( )

ia e

m

fem i vν ω

∂=

− ∂E

ef (10)

Introduce equation (10) into (8), assume that theisotropic term may be considered time invariant forfrequencies greater than the relaxation time of the

Page 111: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B2106electron energy by elastic collisions, and neglect thespatial variation, the isotropic term of the distribu-tion function can thus be calculated, and is found tobe of the same form as that under a dc field with aneffective amplitude Ee given by

( )22

2

2 ωνν

+=

m

moe EE (11)

Often in calculating the collisional kinetics ofa plasma used in microelectronics fabrication, onlythe isotropic distribution i

ef is considered. Since theelastic collisions of electrons with the neutrals arevery frequent, they sufficiently randomize the fieldinduced directionality of the electrons so that theanisotropic term can be neglected.

Case (a): If the sinusoidal amplitude Eo is 0,and inelastic collisions can be neglected, the iso-tropic term of the Boltzmann distribution functionreduces to

0i i

i e ee

c

f fkTvfm v t

∂ ∂+ = = ∂ ∂

(12)

and the solution becomes a Maxwell-Boltzmann dis-tribution (MBD):

2

2mv

i kTef Ce

−= (13)

where the constant C can be determined by the nor-malization condition. Under these conditions theelectrons are in equilibrium with the gas moleculesand can be characterized by T, the gas temperature.We will expand our discussion on MBD later.

Case (b): If the electric field strength is low,few electrons will suffer inelastic collisions, sincethe energy transition for inelastic excitation proc-esses will exceed the energy of most electrons. TheBoltzmann equation for this condition after one in-tegration becomes:

2 2

2 2 = 03

iie e

em

f e E M kT mvfv m ν

∂+ + ∂

(14)

and its solution becomes the Margenau distribution:

2 2

2 2

exp

3

vi

eeo

m

mvdvf Ce E MkT

m ν

= −

+

∫ (15)

Page 112: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Kinetic Theory and Collisions 107

where the constant C can be determined by the nor-malization condition.

Case (c): When the elastic collision losses be-come dominant compared to the thermal energy ex-change with the gas, the applied field is sufficiently

large so that 2 2

2 23e

m

e E M kTm ν

! , and the field oscillation

frequency is much less than that of the collision fre-

quency ( 2 2 2 21, i.e., 2e ov E Eω =" ), the solution of

the Margenau distribution can be approximated as:

2 2

2 2

exp

6

vi

eoo

m

mvdvf Ce E M

m ν

= −

∫ (16)

For certain gases such as helium and hydrogen, theelastic collision cross-section varies approximatelyas the reciprocal of the electron velocity, thus νm isindependent of the electron velocity. Then the Mar-genau distribution reduces to the Maxwell-Boltznann distribution:

2

2 2

2 2

2exp

6

ie

o

m

mv

f Ce E M

m ν

= −

(17)

Comparing equation (17) to (13), we obtain an elec-tron temperature defined as:

22

22

6 m

oe m

MEekT

ν= (18)

Since the collision frequency νm is propor-tional to the density of gas particles with which theelectrons collide, it is proportional to the pressure, p.The electron energy, KT, is, therefore a function ofthe electric field to pressure ratio, Eo/p. It should beremembered that in this model, the energy lossesdue to inelastic collisions are assumed to be lessthan those due to elastic collisions. This solution isonly applicable to very low Eo/p where the electrontemperature is low and few inelastic collisions oc-cur.

Case (d): If the collision cross-section is as-

Page 113: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B2108

Fig. 2. Comparison of Maxwellian andDruyvesteyn energy distribution functions

sumed to be independent of the electron velocity, thecollision frequency is proportional to the velocityand the Margenau distribution becomes the Druy-vesteyn distribution of the following form:

22

2 2

2 2

2exp

6

ie

o

m

mv

f Ce E MmN σ

= −

(19)

Here the collision frequency νm has been expandedas the product of the neutral density, the velocity,and the collision cross-section Nvσm. Note that theDruyvesteyn distribution varies as

4ave− , and againthe dependence upon Eo/p is obvious.

In comparison, in the Maxwellian and theMargenau distributions, the high energy tail de-creases as the exponential to the negative secondpower of electron velocity, while the Druyvesteyndistribution declines as the exponential of the nega-tive fourth power. Thus, the Druyvesteyn distribu-tion predicts fewer high energy electrons that canproduce ions upon collision, as shown in Fig. 2.

In both the Margenau and the Druyvesteyndistributions, the exponent's argument contains theratio of the effective electric field to the pressure ofthe neutrals within the plasma, the ratio Eo/p can beused to characterize the electron distribution func-tion. Thus the average electron energy is also afunction of Eo/p. For example, if the pressure of theplasma is increased by a factor of two, a similarelectron distribution function can be maintained byincreasing the electric field by two.

As stated earlier, the Maxwellian and Druy-vesteyn distributions are reasonable solutions for theelectron energy distributions for low Eo/p where theinelastic collisions can be neglected, however, theseconditions are not valid for steady-state dischargesused in processing. To sustain the discharge, suffi-cient ionization (inelastic collision) must occur tobalance the loss to surfaces. Numerical methodshave been used to compute the electron energy dis-tribution functions considering inelastic collision asrandom two-body coulombic collisions. Shown inFig. 3 is the energy distributions for a hydrogenplasma computed by numerically solving the Boltz-mann equation for different extents of ionization,

Page 114: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Kinetic Theory and Collisions 109

Fig. 3: Effect of the extent of ionization on theenergy distribution function for a hydrogenplasma; E/p = 28.3 V/cm-torr (H. Dreicer).

Fig. 4: Variation of average electron energywith the extent of ionization for a hydrogendischarge (H. Dreicer).

n/N, as a constant Eo/p=28.3 V/cm-torr. As the ioni-zation increases, the distribution becomes moreMaxwellian-like. This is a result of the increasedelectron-electron scattering making the energy ex-change within the electron population rapid andthereby forming an electron gas like distribution andreducing the importance of the accelerating fields onthe electrons.

The effect of electron interactions on the aver-age energy of the plasma is shown in Fig. 4. Theelectron temperature is quite invariant with thepower (i.e., plasma density) for plasmas of sufficientdensities, but is largely a function of Eo/p.

In conclusion, due to inelastic collisions, theenergy typically is not proportional to the square ofthe Eo/p as suggested by Margenau and Druyvesteynequations, but increases with a power varying be-tween 0.5 to 1.

II. PRACTICAL GAS KINETIC MODELSAND MACROSCOPIC PROPERTIESA low pressure gas (≤ 1 atmosphere) can be

modeled using Maxwell-Boltzmann kinetics withdeviations of less than a few percent from experi-mental data for most properties. The gas model as-sumes that: a) The gas is composed of rigid spheres that have vanishingly small volumes. b) There are no long range interactions between spheres. c) All gas-gas collisions are elastic. d) The spheres have no rotational or vibrational energy.

The hard sphere model utilizes the concept ofa collision diameter, do. The collision diameter canbe thought of as the distance between the centers oftwo hard spheres during a collision, as shown in Fig.5; do for a molecule is typically about 3 Å. The colli-sion diameter of two dissimilar molecules is themean of the collision diameters of the molecules. Inreality, there are interactions between molecules fordistances greater than their collision diameter; how-ever, these forces are relatively short range and canbe neglected if the gas has a low number density(i.e., at low pressures).

Note here that we can also derive several par-ticle conservation equations from Boltzmann trans-

Page 115: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B2110

do

v1

v2

do

v1

v2

Fig. 5. Illustration of molecular interactions.

port equation, including

Continuity equation: ( ) ion en nν∇ ⋅ =v (20)

Momentum conservation equation:

mmn qn p mnt

ν∂= − ∇ −

∂v E v (21)

Energy conservation equation:

3 32 2 c

p pt

∂ ∇ ⋅ = ∂ v (22)

Boltzmann relation:

( )

( ) e

eVKT

e on n e=r

r (23)

1. Maxwell-Boltzmann Distribution (MBD)The number of gas particles with a given

speed is related to the energy content of the gas, andto the rate at which they collide with each other andwith surfaces. A quantitative knowledge of this dis-tribution is needed to calculate properties of the gasand chemical reaction rates. Classical thermody-namics for the kinetic gas model outlined in the pre-vious section dictates a Maxwell-Boltzmann distri-bution function for the translational speeds of thegas particles. This function can be obtained by amaximization of the entropy (disorder) of a systemthat contains a given amount of energy. This isequivalent to saying that the system relaxes to a stateof maximum disorder for a given quantity of energy.For this probability distribution, the normalizednumber of particles per unit volume with speed v is

3 222( ) 4 exp

2 2M MvP v vKT KT

ππ

= − (24)

where K is Boltzmann's constant, T is the tempera-ture of the gas, and M is the mass of the gas parti-cles. A plot of the Maxwell Boltzmann distributionis shown in Fig. 6. This distribution is sometimesreferred to as the Boltzmann distribution or the sys-tem can be said to be Maxwellian. The most prob-able (mp), mean (m), and root-mean-square (rms)velocities are shown in Fig. 6:

2

mpKTvM

= (25)

Page 116: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Kinetic Theory and Collisions 111

0 200 400 600 800 1000 1200

2vm

vrms

vm

vmp

Pr

obab

ility

Molecular Speed (m/s)

Fig. 6. A schematic of the MBD function

x

yz

x

yz

Fig. 7: Cartesian coordinates for illustratinggas particle motions.

__ 8( )m

o

KTv v vP v dvMπ

= = =∫ (26)

12

2 3( )rmso

KTv v P v dvM

∞ = =

∫ (27)

2. A Simplified Gas Model (SGM)This model's assumptions are the same as

those described above, but rather than using theMaxwell-Boltzmann distribution function, a simpli-fied function is used. The simplified distributionassumes that all gas particles have identical speedswhere

_ 8KTv

Mπ= (28)

It is also assumed that one sixth of the gas particlestravel along each of the Cartesian axes, as shown inFig. 7.

For argon at 20°C, the average thermal veloc-ity is 4×104 cm/s. It should be noted that by usingthe Maxwell-Boltzmann distribution in terms of thespeed rather than velocity, we have avoided the in-tegration of a vector quantity over a three dimen-sional velocity space; this allows us to quickly esti-mate the plasma properties though the results differslightly to that obtained with MBD (note the resultsare within the same order of magnitude).

We will use both MBD and SGM to determinesome basic properties of the plasma and comparetheir differences.

3. Energy ContentThe energy content of the gas in both models

is assumed to be entirely associated with the transla-tional energy of the gas particles (i.e., we neglectedrotational, vibrational, and electronic energy). InSGM, since the particles are all going at the samespeed, they have equal energies:

______2 4Energy

2mv KT

π= = (29)

Using the MBD, we get

______ 22

0( ) 3

2 2 2

mv P v dvmv KT∞

= =∫ (30)

Page 117: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B2112

L = v ∆t

L = v ∆t

Fig. 8. The volume swept by a molecule equalstspeeddo ∆)(2π .

4. Collision Rate Between MoleculesThe collision rate between molecules deter-

mines the rate at which energy can be transferredwithin a gas, and the rate at which chemical reac-tions can take place. To derive the frequency ofcollisions between gas molecules in SGM, considera molecule going in the +z direction. In the simpli-fied model, it will have no collision with any othermolecules going in the +z direction, since they areall going at the same speed. It will have a closingspeed of 2 relative to that of the n/6 molecules goingin the -z direction, and a speed of v2 relative to the4n/6 molecules going in the ±x and ±y directions.Therefore, during a given period of time, the mole-cule sweeps out a cylindrical volume using do as thediameter and with a length equal to the relativespeed multiplied by the time. The molecule will suf-fer a collision if its center comes within a distance doof another molecule's center (Fig. 8). The number ofcollisions per unit time is thus, the swept volumemultiplied by the number of molecules per unit vol-ume:

The number of collision is:

_ _2 2

_2 2 2

42 26 6

8 8

o o

o o o

n nd v d v

KTn d v n d pdm mKT

ν π π

πξ π ξ π ξπ

= +

= = =

(31)

where 276.1232

31

=+=ξ

(ideal gas law)p nKT= (32)

Here the ideal gas law has been used to formulatethe number of collisions as function of pressure.

Using the MBD, the constant ξ is evaluated as2 , yielding:

24 opdmKT

πν = (33)

For argon at 20°C and 1 torr of pressure, the colli-sion frequency is 6.7 × 106 s-1.

5. Mean Free PathThe mean free path, λ, is the average distance

traveled by a gas molecule between collisions. It isa determining factor in the rate at which a gas parti-cle can diffuse within a gas. For a given time in-crement, a molecule travels a distance equal to its

Page 118: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Kinetic Theory and Collisions 113

Fig. 9. Random motion and mean free path.

speed multiplied by the elapsed time. During thistime it suffers the number of collisions determinedby the previous derivation of the collision frequency.Thus, the mean free path, λ, in SGM is

_ _

2

1

c o

v t v KTt n p d

λν ν ξ σ ξ π

∆= = = =

∆ (34)

Using the MBD, a very similar form is obtained:

22 o

KTp d

λπ

= (35)

For argon at 20°C and 1 torr, the mean free path is 6× 10-3 cm or 60 microns.

To calculate the probability, P(x), that a mole-cule will travel a distance x without suffering a colli-sion, we can relate the change in the probability,dP(x), to the probability that it will suffer a collisionin the next increment of distance, dx. Thus, theprobability that a collision will occur in the distancebetween x and x + dx is the product of the probabil-ity that it will have reached x without a collision, thenumber of collisions per unit length, and the incre-mental distance dx:

( ) ( ) dxdP x P xλ

= − (36)

Integrating the above equation, we find that theprobability of not suffering a collision decays expo-nentially with increasing x:

−=

λxxP exp)( (37)

Since the mean free path is a function of the ratio ofaverage gas molecule velocity to the collision cross-section of the molecule,

_

1

c

vn

λν ξ σ

= = (38)

substituting for λ in the probability expression yields

( )xnxP xσξ−= exp)( (39)

For example, the probability that a moleculetravels one mean free path without suffering a colli-sion is the exp(-1), or 37%, while the probabilitythat a molecule travels three mean free path withoutsuffering a collision is the exp(-3), or 5%.

Page 119: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B2114

Fig. 10. Fluxes to a surface.

6. Flux of Gas Particles on a SurfaceThe flux of gas molecules striking a surface

determines the maximum rate at which the gas canreact with the surface, assuming unity sticking prob-ability -- every particle that strikes sticks to the sur-face. The term flux refers to the number of mole-cules that impinge on a unit surface area in a unittime increment (Fig. 10). Since all the molecules

have speed _v in SGM, the "effective" volume of gas

that can strike the surface within time t is

Volume = _v t (unit area)

This is an effective volume because only a fraction(n/6) of the molecules in the gas are traveling in thecorrect direction, i.e., towards the surface. Thenumber of molecules striking a unit area in time t isthe effective volume multiplied by n/6, so that theflux on the surface is

_8Flux

6 6nv n KT

mπ= = (40)

Using the MBD, the flux is calculated by inte-grating over the velocity component perpendicularto the surface, yielding

_

Flux4

nv= (41)

Note that the Boltzmann distribution gives a factorof 1/4 rather than 1/6. For argon at 20°C and 1 torr,the number flux is 3 × 1020 molecules/cm2. To putthis number in perspective, a monolayer containsapproximately 1015 molecules/cm2, so that about 1monolayer equivalent molecules strike the surfaceper second at 10-6 torr. If the sticking coefficient ofthese molecules is unity, one monolayer of materialwill be deposit at 10-6 torr every second!

7. Gas PressureThe pressure exerted by a gas on a surface can

be calculated from the change of momentum that thegas molecules undergo during collisions with thesurface. For a system in thermodynamic equilib-rium, the gas leaving the surface must have the samespeed (and velocity) distribution as that strikes thesurface. Pressure is the force per unit area or thechange in the gas momentum per unit area per unittime, as shown in Fig. 11:

Page 120: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Kinetic Theory and Collisions 115

Fig. 11. Pressure due to the impulse timesthe rate of collision with the wall.

Force (Momentum change/molecule)FluxPressure = = Area Area

In SGM, each molecule striking the surface under-

goes an average momentum change of 2m_v . The

pressure, p, for the simplified model is then theproduct of the number flux calculated above and themomentum change per collision,

__

26

nvp mv= (42)

substituting for _v gives

83

p nKTπ

= (43)

Using the MBD with proper integration:

0

2 ( )p mvP v dv nKT∞

= Γ =∫ (44)

which is the ideal gas law. Using the ideal gas law,the number density, n, of argon at 20°C and 1 torr is3.3 × 1016 molecules/cm3. To be more accurate, thevan der Waals equation of state takes into accountthe repulsive forces at work at short distances whichtends to reduce V and the attractive forces at longerdistances that tends to decrease p:

2

2

nRT n apV nb V

= −−

(a, b are constants)

( )2

2

np a V nb nRTV

+ − =

(45)

There are a number of different pressure unitsused in vacuum calculations, and the following con-version relations contain the commonly used units:

1 atmosphere = 760 mmHg = 760 torr = 105 Pascal1 bar = 105 Pascals1 mtorr=1×10-3 torr = 1 µm Hg = 1 micron1 Pascal = 9.8 kg/m-s2 = 1 N/m2 = 7.6 mtorr

8. Transport PropertiesThe flux of heat, mass, and momentum are

considered the transport properties of a medium.These fluxes are generally calculated using thethermal conductivity kT, the mass diffusivity D, and

Page 121: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B2116the viscosity η. The form of the flux equations isshown below.

Heat Flux = dzdTkq Tz −= (temperature gradient)

Mass Flux = i iz

dn Mj Ddz

= − (conc. gradient)

Momentum Flux = yz

dvp

dzη= (velocity gradient)

These coefficients can be calculated using the MBD,yielding:

3

_λvnc

k vT = ! proportional to 2

1T 1

2M − op ( ) 12 −

odπ

_

3vD λ

= ! proportional to 23

T 12M − 1−p ( ) 12 −

odπ

_

3nM v λη = ! proportional to 2

1T 1

2M op ( ) 12 −

odπ

where cv is the heat capacity at constant volume.Typical values of gas diffusivity are 0.2 and

150 cm2/s at 1 atm and 1 torr, respectively. The dif-fusion of mixtures requires appropriate mixing rules.It should be noted that mass diffusivity is inverselyproportional to pressure, so that the diffusivities atplasma processing pressures are very large (100-10,000 cm2/s).

9. Gas FlowThe flow of gas must be considered when de-

signing a pumping system for a plasma reactor.Pumping low pressure gases is surprisingly difficult,and large conduits are required to provide the neces-sary conductivity.

The flow of gases in a conduit or chamber canbe divided into three regimes, based on the ratio ofthe gas mean-free-path to the inner dimension of theenclosure (the Knudsen number): Kn = λ/L, as:

a) Viscous flow or continuum regime: λ/L < 0.01

b) Transition regime: 0.01< λ/L <1

c) Molecular flow or rarefied regime: λ/L >1

Table 1 summaries the mean free path of gasmolecules at various pressures and the correspond-ing flow regimes. In the viscous regime the colli-sions between gas molecules dominate in determin-

Page 122: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Kinetic Theory and Collisions 117

Table 1. Mean free path of gas moleculesat various pressures.

p(torr) 10-4 10-3 10-2 10-1 1λ (cm) 50 5 0.5 .05 .005λ / L 1 0.1 .01 .001 .0001Flow R T T V V

R = Rarefied flow T = Transition flow V = Viscous flow

Fig. 12. Computer simulation of 150 particlesmove in a pipe (molecular flow).

ing the flow characteristics. A no-slip boundarycondition exists at any surface, meaning that thevelocity of the gas is zero at the surface. For mostsituations in vacuum systems, the flow is laminar,and has flow properties similar to that of molasses.This is an artifact of the independence of gas vis-cosity with respect to pressure. The fluid flow char-acteristics are dependent upon the Reynolds numberwhich is ρvD/η, where ρ is the density per unit vol-ume. A Reynolds number of less than 2000 in a cir-cular conduit indicates laminar flow. At higherpressures and high velocities, the flow can becometurbulent.

In the molecular flow regime, any sort of con-tinuum model for the flow behavior of the gasbreaks down; the majority of the gas collisions occurwith the walls of the conduit, not between gas mole-cules. In this regime the pressure does not influencethe flow characteristics, as shown in Fig. 12. Theseflow regimes as a function of the gas density aresummarized in Fig. 13.

The flow characteristics in the transition re-gime are not fully understood. This makes theanalysis of plasma processing challenging sincemost of the plasma processes used in microelec-tronics processing are performed in this regime.

The flow of a gas through a conduit is usuallycharacterized as a conductance, analogous to theconductance in an electrical circuit. The conduc-tance, C, is the ratio of the flow rate in units of pres-sure-volume/time (Q) and the pressure difference:

1 2

QCp p

=−

(46)

Note that the conductance has units of volume/time.As in the electronic circuits, the overall conductivityof a system can be calculated using

overall 1 2 3

1 1 1 1 .....C C C C

= + + + (47)

Use a circular tube with a length much greater thanits diameter as an example, the conductivity for airin it in the rarefied regime is given by

3

long tube 12.2 DCL

= (48)

In the continuum regime, the conductivity is de-pendent upon the pressure and can be estimated as

Page 123: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B2118

Fig. 13. Effective limits of major gas flowregimes, do= 3.7×10-10m. (G. A. Bird, 1976).

Fig. 14. Molecular transmission probability, a,of a short tube as a function of the tube lengthto radius.

41 2

long tube( )

128 2p pDC

η+

= (49)

The calculation of flows in the transition regime canbe bracketed by calculating for both the rarefied andthe viscous flows; i.e., the transition flow is betweenthese two limits.

The conductance of many common conduitsencountered in vacuum systems are given in thehandbook on vacuum technology by Hanlon. Forexample, Fig. 14 shows the molecular transmissionprobability, a, of a short tube as a function of thetube length to radius, and the conductance of a suchtube can be calculated as:

2

short tube 4av RC π

= (50)

while Fig. 15 shows the molecular transmissionprobability of a round pipe with entrance and exitapertures. These plots are extremely useful in de-termining the conductance of a plasma reactor withits pumping elements for design optimization.

The pumping speed of a vacuum pump is typi-cally rated in terms pumping speed, S, where

QSp

= (51)

The pumping speed has units identical to those ofconductance; it is sometimes given as a function ofpressure, but is often relatively constant over thenormal working range (Fig. 16).

The residence time in the system is therefore:

V pV pVS pS Q

τ = = = (52)

For example, a gas flow of 5 sccm (standard cubiccentimeters per minute) is introduced into a chamberthat is pumped by a roughing pump with a pumpingspeed of 400 l/min through a 2 m long circular tubewhich is 1 inch in diameter. If the gas flow is as-sumed to be air, we can calculate the upper limit ofthe pressure by assuming that the flow is in the rare-fied regime to be 0.7 Torr.

With the development of computational fluiddynamic models and software (CFD), the gas veloc-ity and pressure profiles can be calculated quite ac-curately given the plasma reactor geometry, operat-

Page 124: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Kinetic Theory and Collisions 119

Fig. 15. Molecular transmission probability ofa round pipe with entrance and exit apertures.

P (pressure)V (volume)

S (pumping speed)

Q (Gas throughput)

P (pressure)V (volume)

S (pumping speed)

Q (Gas throughput)

Fig. 16. Schematic of a gas pumping system.

Fig. 17: Schematic of the pressure gradient ina plasma reactor.

ing conditions, and the pumping speed of the sys-tem. Fig. 17 shows a schematic of the pressure gra-dient in a plasma reactor, with one gas injectionport, multiple layers of gas distribution rings (show-erhead design), and a pumping port.

III. COLLISION DYNAMICS

1. Collision cross sectionsThe collision cross-section, σc, is an averaged

value that is proportional to the probability that acertain type of collisional process will occur, and isa function of the closing velocities of the particles.At times it will also be a function of the angle be-tween incident particle trajectory and a line con-necting the centers of the particles as they collide; inthis case, integrating over all possible collision con-figurations will yield the correct cross-section.

As shown in Fig. 18, particles incident withimpact parameters between h and h+dh will be scat-tered through angles between θ and θ+dθ. Withcentral forces, there must be complete symmetryaround the central axis so that:

2 ( , ) 2 sinh dh I v dπ θ π θ θ⋅ = − ⋅ ⋅ (53)

The differential cross section, ( , )I v θ , is the propor-tionality constant and is derived as:

( ),sin

h dhI vd

θθ θ

= (54)

therefore the total scattering cross-section, σs, is: ( )

02 , sins I v d

πσ π θ θ θ= ∫ (55)

and the momentum transfer cross-section, σm, is:

( ) ( )∫ −=π

θθθθπσ0

sin,cos12 dvIm (56)

To solve for the complex scattering trajecto-ries, we can convert the scattering angles from thelaboratory frame (Fig. 19) into the center-of-mass(CM) coordinates (Fig. 20), and relate the scatteringangles θ1 and θ2 to the scattering angle φ in the CMframe and the two particles masses: m1 and m2:

2

11

cos

sintan

mm

+=

φ

φθ (57)

φ

φθcos1

sintan 2 −= (58)

Page 125: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B2120

dhh dθ

θdh

h dθθ

Fig. 18: Schematic illustration of thedifferential cross-section.

h

θ1m1m2

θ2

v1

v2 = 0

v1

v2

h

θ1m1m2

θ2

v1

v2 = 0

v1

v2

Fig. 19. The relation between the scatteringangles in the laboratory frame.

h

φ mRvR

Fixed center

vR

h

r(t)h

φ mRvR

Fixed center

vR

h

r(t)

Fig. 20. The relation between the scatteringangles in the center of mass (CM) frame.

h

p// = mRvR

Fixed center

r(t)

∆ p( )U rr

∂−

h

p// = mRvR

Fixed center

r(t)

∆ p∆ p( )U rr

∂−

Fig. 21. Small angle scattering withmomentum impuse, ⊥∆P , illustrated.

For electron colliding with ions or neutrals, since1

2

1 <<mm

, the reduced mass 1 21

1 2

( )R em mm m m

m m= ≈

+,

therefore: φθ ≈1

For equal mass particle collision, 1 2/ 1m m = and

112Rm m≈ , so

21φθ ≈

For small angle scattering, we can use themomentum impulse analysis, ⊥∆P , to solve for thecollision cross sections and scattering angles (Fig.21). Using momentum balance and assume the cen-tral force is of a universal form:

( ) irCrU = (59)

Following Smirnovs derivation (1981), we foundthe scattering angle to be:

i

R

AW h

φ = (60)

where 2½R R RW m v= is the kinetic energy of thecenter-of-mass, and the parameter A relates to the Γfunction with a constant C:

+

Γ

+

Γ=

22

21

2 i

iCA π (61)

The differential collision cross-section is thus:

( )i

i

RR W

Ai

vI 22

2

11,+

=

φφ (62)

From equation (62), we can then derive the collisioncross-sections and collision frequency or reactionrates. For different potential energies, we can de-termine the dependencies on the relative velocity, asshown in Table 2.

2. Energy TransferConsider the simplest scattering of two

spheres as representing particle-particle scattering.Choose a frame of reference that is fixed with re-spect to the more massive sphere, m2. The lighter

Page 126: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Kinetic Theory and Collisions 121

Table 2. Dependence of collision crosssections and collision frequency (rate

constant K) on velocity

U(r) σ v or K

Coulombic 1/r 1/vR4 1/vR

3

Perm. dipole 1/r2 1/vR2 1/vR

Induced dipole 1/r4 1/vR const.

Hard sphere 1/ri→∞ const. vR

m1m2

v1v2 = 0

v1 v2

θ

m1m2

v1v2 = 0

v1 v2

θ

Fig. 22. Illustration of a collision process.

sphere, m1, is moving with speed v1 towards m2. Asshown in Fig. 22, θ is the angle between the incidentsphere and the line between the centers of thespheres as they collide. After the collision thespheres leave with velocities 1v′ and 2v′ .

During the collision process both energy andmomentum must be conserved. The momentumconservation can be broken into two components,one parallel with the collision centerline and anotherperpendicular to the centerline and falling in theplane defined by the two lines. Momentum is alsoconserved in the direction perpendicular to both thecenterline and the plane, but since the velocitycomponents in this direction are always zero, it willbe ignored.

Parallel Momentum: 1 1 1 1// 2 2cosm v m v m vθ ′ ′= + Perpendicular Momentum: ⊥= 1111 sin vmvm θ

Energy: 2 2 21 1 1 1 1 2

1 1 12 2 2

m v m v m v′ ′= +

Notice that due to the choice of the centerlineas a coordinate, the incident particle does not changeits velocity in the perpendicular direction. If Et isthe energy transferred from the incident sphere tothe fixed sphere, and Ei is the initial energy of theincident sphere, the fractional energy transferred isgiven by

( )

22 2

21 222

2 1 21 1

142 cos1

2

t

i

m vE m mE m mm v

ζ θ′

= = =+

(63)

! ( ) ( )1 2

1 2

2 1 cosm mm m

ζ φ= −+

(64)

If the masses of the spheres are the same, the frac-tional energy transferred is

1 1(1 cos )2 2i tm m ς φ= → = − ≈

at low scattering angles.This expression would apply for the scattering

of ideal-like gas molecules. Note that the fractionalenergy transfer is unity for head-on collisions in thiscase. In electron-neutral scattering, the masses arevery different and the energy transfer approacheszero in elastic collision:

42 10i tmm m

Mς −>> → ≈ ≈

Page 127: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B2122

3. Inelastic CollisionsIn the collision process, energy can be trans-

ferred from kinetic energy to internal energy if atleast one of the particles is complex enough to per-mit such internal energy states. Atomic gases canundergo electronic transitions where at least one ofthe outer electrons is promoted into a higher energystate. Diatomic or more complex molecules haverotational and vibrational states that can be excitedby inelastic collisions. The energy separation ofthese states increases in order of rotational, vibra-tional, and electronic excitations. The possibility ofinternal energy transfer can be incorporated into theelastic model described above by the inclusion of a∆U term in the energy conservation relation, where∆U is the gain in internal energy of the molecule thatwas struck:

Uumumvm ttiiii ∆++= 222

21

21

21 (65)

The amount of energy transferred upon collision isnow not a unique function of the geometry of thecollision and the masses of the spheres. It is a con-tinuous function,

2)(21cos tti

i

titt umm

mm

vumU +−=∆ θ (66)

The maximum energy that can be transferred in aninelastic collision is

θ22max cos

21

iit

it vmm

mmU

+

=∆ (67)

For a collision of equal masses, this reduces to

θ22

max cos4

iit

mvUmm =∆→=

Note that the above energy is equal to one half of theincident particle's kinetic energy in the directionparallel to the centerline. For the collision of une-qual masses, the fraction transferred approaches thatof the total kinetic energy in the parallel direction:

θ22

max cos2

iiit

vmUmm =∆→> (68)

It should be remembered that these processes arereversible, i.e., internal energy can be transferredinto kinetic energy during a collision.

In practice, the spectrum of possible internalenergy states is not continuous. Complex molecules

Page 128: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Kinetic Theory and Collisions 123have a more continuous spectrum, however, sincemore combinations of rotational, vibrational, andelectronic states are allowed, a larger number ofclosely spaced states would appear as continuous.

There are a large number of possible processesthat can occur upon collision. They include electronimpact ionization, excitation, relaxation, dissocia-tion, electron attachment, and ion-neutral collisions.Each of the processes can be quantified with a cross-sectional area that is proportional to the rate atwhich the collisional process takes place. Gas-phasereactions, which are often consequences of theseinelastic collisions, are discussed in the followingsection.

Page 129: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Atomic Collisions and Spectra 125

PRINCIPLES OF PLASMA PROCESSINGCourse Notes: Prof. J. P. Chang

Part B3: ATOMIC COLLISIONS AND SPECTRA

I. ATOMIC ENERGY LEVELSAtoms and molecules emit electromagnetic

radiation or photons when the electrons or nucleiundergo transitions between various energy levelsof the atomic or molecular system. Detailed theoryof radiation requires quantum electrodynamics tofully describe the interaction between materials andelectromagnetic radiation, so it will not be detailedin this lecture. However, a small set of rules will bediscussed to allow the study of the basic physics ofradiation.

First we will consider the interaction betweenelectrons in the bound states of atoms andelectromagnetic radiation. Atoms emitelectromagnetic radiation or photons when theirbound electrons undergo transitions betweenvarious energy levels of atomic system. Eachatomic system has its unique energy levelsdetermined by the electromagnetic interactionamong various bound electrons and nucleus.

Calculation of atomic energy levels requiressolving a Schrodinger equation for a many particlesystem (nucleus and electrons) and there is no exactsolution available except for the simplest atomicsystem, i.e., the hydrogen atom. Manyapproximation methods were developed to calculatethe atomic energy levels, currently, the energylevels of many atomic systems are identified andtabulated in the form of Grotrian diagram. One wayto designate the various energy levels in theGrotrian diagram is called LS (also known asRussell-Saunders) coupling. However, it should benoted that the LS coupling scheme does notnecessarily specify each energy level uniquely, thusone should be careful about using LS couplingscheme. According to the LS coupling scheme,each state is denoted by its orbital angularmomentum and the spin state along with eachelectrons configuration state.

For example, the ground state neutral heliumdescribed by LS coupling scheme is (1s)2 1S0. (1s)2

denotes that two electrons occupy the 1s state, and1S0 is the spectroscopy term where the superscript 1denotes the net spin state is singlet, S denotes that

Page 130: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B3126

Fig. 1. Atomic energy levels for the central fieldmodel of an atom (e.g., Na).

eee

Fig. 2. An electron atom elastic collision.

the total orbital angular momentum is 0, and thesubscript 0 denotes that the total angular momentumis 0 (J=L+S=0).

Another example: the ground state neutralcarbon and oxygen described by LS couplingscheme are (1s)2(2s)2(2p)2 3P0 and (1s)2(2s)2(2p)4

3P2. Here we have 2 electrons in the 1s state, 2electrons in the 2s state, and 2 or 4 electrons in the2p state. In addition, the net spin state is triplet(superscript 3), the orbital angular momentum stateis P (angular momentum quantum number is 1) andthe total angular momentum state is 0 or 2 (for lessthan half filled orbital, J=L-S=0; for half filledorbital or more than half-filled orbital, J=L+S= 1 +1 =2).

In summary, the designation of atomic energylevels can be done using the spectroscopicdesignation of an atomic state:

2 1SJX I n L+

where X is the element symbol,I is the ionization state (I: not ionized, IIsingly ionized, II: doubly ionized, etc.),n is the principal quantum number,2S+1 is the multiplicity (S=0: singlet; S=½:doublet; S=1: triplet, etc),L is the total orbital angular momentum (S,P, D, F, G for L = 0, 1,2,3,4), andJ = L + S is the total electronic angularmomentum.

The atomic energy levels of Na is shown inFig. 1 as an example.

II. ATOMIC COLLISIONS

In a homogeneous plasma, energetic electronsundergo collision with the neutrals to generateexcited neutrals, atoms, free radicals, ions, andadditional electrons. These electron collisionprocesses make the plasma chemistry complex andinteresting. Due to the large mass difference, theelectron-particle collision can be viewed as anelastic collision process, as shown in Fig. 2.Several other electron-atom collision processes arelisted:

1. Excitation processesa) Electron impact ionization (Fig. 3):

Page 131: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Atomic Collisions and Spectra 127

e

e e

ee

ee ee

Fig. 3. Electron impact ionization.

e

e

ee

ee

Fig. 4. Electron impact excitation.

e

e

e

e

Fig. 5. Electron impact dissociation.

e

e e

*

ee

ee ee

*

Fig. 6. Electron metastable ionization.

e− + A ! e− + e− + A+

Electrons with sufficient energy can remove anelectron from an atom and produce one extraelectron and an ion. This extra electron canagain be accelerated to gain enough energy andionize another atom. This multiplication processleads to a continuous generation of ionizedspecies and the plasma is sustained. Theionization processes generally have the highestenergy barriers, on the order of 10 eV.

b) Electron impact excitation (Fig. 4): e− + A ! e− + A* Electrons with sufficient energy can also excite

the electrons of an atom from the lower energylevel to a higher energy level. This processproduces an excited neutral species whosechemical reactivity towards the surface could bequite different from the ground state atoms. Thethreshold energy needed to produce excitedspecies can vary greatly, depending on themolecule and the type of excitation.

Some excited atoms have very long lifetimes (~1-10 msec) because the selection rules forbid itsrelaxation to the ground state. These excitedatoms are thus called metastables. All noblegases have metastable states.

c) Electron impact dissociation of diatomicmolecules (A2) (Fig. 5):

e− + A2 ! e− + A + A

Electrons with sufficient energy can also breakthe chemical bonds of a molecule and produceatomic species. These atomic species could gainenough energy and be at a higher energy levelthan the ground state atoms. Dissociativeprocesses usually have lower threshold energiesthan ionization processes. Dissociativethreshold energies vary from 0 to above 10 eV,depending upon the strength of the bond that isbroken and the mechanism by which the processoccurs.

This process is mostly responsible for theproduction of chemically active radicals in mostof the plasmas.

d) Electron metastable ionization (Fig. 6):

Page 132: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B3128

e

*

ee

*

Fig. 7. Penning Ionization.

Fig. 8. De-excitation.

eee

Fig. 9. Three-body recombination.

eee

Fig. 10. Radiative recombination (3-bodyprocess).

e− + A* ! e− + e− + A+

Electrons with sufficient energy can also removean electron from a metastable atom and produceone extra electron and an ion. Since themetastable atom is already excited, less energyis required here to ionize the atom.

e) Metastable-neutral ionization (Fig. 7): A* + B ! A + e− + B+

Metastable atom can collide with a neutral andionize it if the ionization energy of the neutral(B) is less than the excitation energy of themetastable (A*). This is also called the PenningIonization process.

2. Relaxation and Recombination Processesa) De-excitation (Fig. 8):

A* ! A + hν

The excited states of atoms are usually unstableand the electron configuration can soon return toits original ground sate, accompanied by theemission of a photon with a specific energy thatequals the energy difference between the twoquantum levels.

b) Electron-ion recombination (Fig. 9): e− + A+ + A ! A* + A

For electron-ion recombination, a third-bodymust be involved to conserve the energy andmomentum conservation. Abundant neutralspecies or reactor walls are ideal third-bodies.This recombination process typically results inexcited neutrals.

c) Radiative recombination (Fig. 10): e− + A+ ! A + hν

Photon can also be generated during thecoalescence process of recombination. This isalso a three-body recombination process, sincethe two-body coalescence is highly unlikelyfrom the standpoint of energy and momentumconservations.

d) Electron attachment (Fig. 11): e− + A ! A−

Page 133: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Atomic Collisions and Spectra 129

eee

Fig. 11. Electron attachment.

_

+

_

+

_

+

Fig. 12. Ion-ion recombination.

Electron can attach to an electronegative atom toform a negative ion, for example, a halogenatom or an oxygen atom. Complex gasmolecules such as SF6 can also undergodissociative attachment to form negative 5SF−

ions. This could also be a three-bodyrecombination process.

e) Ion-ion recombination (Fig. 12): A+ + A− ! A + A

With negative ions generated, positive ions andnegative ions can collide with finite (usuallysmall) probabilities. In ion-ion recombination,one electron transfers and two neutrals areformed.

III. ELASTIC COLLISIONS

1. Coulomb collisionsIn general, collisions between ion-ion, ion-

electron, and electron-electron are all Coulombiccollision. The coulomb potential is:

( )r

qqrU0

21

4πε= (1)

Following the derivation above, the differentialcollision cross-section can be determined to be:

( )

2

2

20

2sin4

,

φbvI R

(2)

Note: this is the Rutherford Back Scattering (RBS)cross-section where bo is the distance of the closestapproach.

2

1 2 1 20

200

14 42

RR R

q q Z Z ebW m vπε πε

= =

(3)

From this analysis, Coulombic scattering could leadto a single large-angle scattering (less likely) orcause a series of small-angle scatterings.

2. Polarization scatteringWith a point charge, qo, approaches an atom

whose atomic radius is a with a point positivecharge of q and a uniform negative charge cloud -q,

Page 134: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B3130

r a

qo q d r a

qo q d

Fig. 13. Polarization of an atom (atomic radiusa) by a point charge qo.

Table 1. Relative polarizability.

Species αR

H 4.5 C 12 N 7.5 O 5.4 Ar 11 CCl4 69 CF4 19 CO 13 CO2 17 Cl2 31 H2O 9.8 NH3 14.8 O2 10.6 SF6 30

the point charge can polarize the atom by displacingthe uniform charge cloud through quasistaticinteractions. The induced electric field due to asmall displacement, d, around the center of the atomis:

304ind

qdEaπε

= − (4)

The induced dipole is therefore:

3

2o

indq aP qdr

= = (5)

The attractive potential due to the incoming chargeqo is:

( )2 3

408

oq aU rrπε

= − (6)

The polarizability in this simple atomic modelis: 3ap =α , and the relative polarizability is:

30ap

R

αα ≡ (7)

Table 1 summarizes the relative polarizability ofseveral atomic species. Note again that ao is theBohr radius.

If the impact parameter, h is small enough,i.e., smaller than the critical impact parameter, hL,the particle will be captured by the atom during thistype of collision. This critical impact parameter is:

1

2 4

20

p oL

R R

qh

v mαπε

=

(8)

21

21

mmmmmR +

= (9)

21 vvvR −= (10) The Langevin or capture cross-section can thus bedetermined as:

2L Lhσ π= (11)

IV. INELASTIC COLLISIONS

1. Constraints on electronic transitionsAtoms emit electromagnetic radiation

(photons) when the electrons undergo transitionsbetween various energy levels. Since the typicallyradiation time is on the order of 1 ns, much shorterthan the characteristic time between collisions,

Page 135: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Atomic Collisions and Spectra 131

Fig. 14. Atomic energy levels of He, showing thedivision into singlet and triplet states.

which are on the order of 100 ns, the excited stateswill generally be de-excited by electric dipoleradiation rather than by collision.

However, not every transition occurs asfrequently as others do. The most frequenttransition between various energy levels is theelectric dipole transition and the followingconditions should be satisfied for the electric dipoletransition. The general rule of thumb includes:

Energy conservation: the energy of emittedradiation (photons) should be equal to the energydifference between the upper energy level and thelower energy level, hν = Ei − Ej, where h is thePlanck's constant, ν is the frequency of the emittedphoton, Ei is the energy of the upper level theelectron occupies prior to the transition, and Ej isthe energy of the lower level the electron occupiesafter the transition.

Selection Rules: during the electric dipoletransition, the following changes for angularmomentum state need to occur:• Change in the orbital angular momentum state:

∆L = 0, ±l(0 is not allowed for a transition involving onlyone electron)

• Change in the spin angular momentum state:∆S = 0

• Change in the total angular momentum state:∆J = 0, ± 1(except that J=0 to J=0 transition is strictlyforbidden) .

From the selection rule, the energy levels ofHe, can be shown divided into singlet (para-helium)and triplet (ortho-helium) states, since thetransitions between them are forbidden. Since L=0! L=0 is forbidden, the 21S and 23S states aremetastables (Fig. 14). A more detailed Grotriandiagram is included at the end of this section.

It is noted that the selection rules are notperfect, unlike energy conservation. For example,the very intense mercury resonance line at 253.7 nmis due to the transition from 3P1 ! 1S0.

If the above two conditions are satisfied, theelectrons can spontaneously undergo transition fromthe upper energy level, i, to the lower energy level,j, with a certain probability per unit time. This

Page 136: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B3132

350 400 450 500 550 600 650 700 750

667.

8 nm

He

I 21 P-

31 D

706.

5 nm

He

I 23 P-

33 S

587.

5 nm

He

I 23 P-

33 D

501.

6 nm

He

I 21 P-

31 P

447.

1 nm

He

I 23 P-

43 D

402.

6 nm

He

I 23 P-

53 D

Inte

nsity

Wavelength (nm)

Fig. 15. Emission from a He Plasma.

probability is called the transition probability forspontaneous emission (also known as Einstein Acoefficient) and can be easily found in the literaturefor many transitions. For example, the transitionprobability of hydrogen atom between 2p state and1s state is 6.28 × 108 sec-1.

2. Identification of atomic spectraBased on the above discussion, we can now

understand the essential features of atomic spectrumand obtain some useful information about theplasma system. As shown in Fig. 15, atomicspectrum usually consists of a number of very sharplines on the constant background. When thespectrum is measured, the first task is to identifyevery emission line in the spectrum. This is doneby comparing the wavelength of the emission lineswith the energy differences between two electroniclevels using the published spectral database (NISTdatabase). It is noted that in some cases even thisfirst step is not very straightforward and requiresadditional consideration. Once this step iscompleted, we can have at least two (maybe more)very useful information about the plasma. They are:

• Identification of existing atomic species in theplasma.• Identification of certain excited atomic states

and their density in the plasma.

Later, we will learn how to use the information tounderstand the plasma state.

Light emission is a major characteristic ofplasmas. To emit the light, the atoms in the plasmahave to be in the excited states. There are twodifferent ways to excite the atoms in the plasma tothe excited states. The first one is to use the kineticenergy of the particles in the plasma (in particularelectrons) and to transfer this energy to the atoms inthe ground state (or another excited state) bycollision. This process is called collisionalexcitation. The second process is to use the energyof the photons and to transfer their energy to theatoms by absorption of photons. This process iscalled radiative excitation. In most plasma systems,the frequency of the radiative excitation is muchsmaller than the collisional excitation, thus can beneglected.

Note again that it is not very easy to excite the

Page 137: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Atomic Collisions and Spectra 133

Fig. 16. Ionization cross-section of noble gases.

Fig. 17. Excitation cross-section of electrons inhydrogen.

.

ground state electron in the atom to an excited state.The energy required for this excitation is fairlylarge. For example, in hydrogen atom, a minimumenergy of 10.2 eV is required to move the electronfrom the ground state (1s) to the lowest excited state(2p) from which atom can emit the photons. Anionization process requires more energy than theexcitation process (for example, the ionizationpotential of hydrogen is 13.6 eV). The ionizationcross-sections of several noble gases and theexcitation corss-section for H are shown in Fig. 17and Fig. 18 as examples.

Once the atoms in the plasma are excitedabove the ground state, it will eventually be de-excited to the ground state. There are threedifferent ways to de-excite the atoms in the plasma.The first one is the spontaneous emission when theelectron in the excited level makes a transition tothe ground level or another excited level withoutany external influence. As briefly mentionedearlier, the time scale for this de-excitation is veryshort if the transition is electric dipole transition, onthe order of 10-8 sec to 10-7 sec. In this case, theenergy conservation is satisfied by emitting thephoton whose energy is equal to the energydifference between the initial state and the finalstate. In many plasma systems, this is the mostimportant de-excitation mechanism. On the otherhand, the electron in the excited level also makes atransition if there are other photons around theexcited atoms. This process is called stimulatedemission. Though the stimulated emission is thekey element for the laser, in most plasma system,the stimulated emission can be neglected. The thirdprocess for the de-excitation is the inverse processof the collisional excitation and is called collisionalde-excitation. In collisional de-excitation, thecolliding particles will gain energy from the excitedatoms into their kinetic energy. The importance ofcollisional de-excitation is a function of plasmadensity and electron temperature and it varies forvarious excited states.

3. A simplified model for emissionTo simplify the discussion, we will make a

number of assumptions on our plasma system.

1. Plasma density (ne) is uniform throughout thevolume.

2. Electron energy distribution is Maxwellian and

Page 138: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B3134

Eo

E1

E2

Ei

R1

R2

A2o A1o

A21

Eo

E1

E2

Ei

R1

R2

A2o A1o

A21

Fig. 18. Energy diagram of an atom withlimited energy levels.

its temperature is given as Te.3. Our plasmas are made of hypothetical atoms that

have only 4 energy levels, ground state, first andsecond excited state and ionized state.

4. The rate of collisional de-excitations are smallcompared to the rate of spontaneous emission,thus will be neglected.

5. The system is in steady state.As shown in Fig. 18, Eo, E1, E2, and Ei are the

ground state, excited state 1, excited state 2, and theionized state. R1, and R2 are rate of collisionalexcitation from the ground state, and A1o, A2o, andA21 are rate of spontaneous emission (Note that theyare also called Einstein A coefficient). R1, and R2can be calculated using the collisional cross-sections:

1 0 1eR n n vσ= < >

2 0 2eR n n vσ= < >

Remember <σv> is the collision rate averaged overthe MBD.

From these rates, the equations governing thedensity of each state can be determined:

( ) 2021012100 AnAnvvnn

dtdn

e ++><+><−= σσ

101212101 AnAnvnn

dtdn

e −+><= σ

( )20212202 AAnvnn

dtdn

e +−><= σ

In steady state, the time derivatives in the LHS arezero, and we have two independent equations withfive unknowns (ne, n0, n1, n2, Te).

From charge quasi-neutrality and particleconservation, we have one more equation:

ge nnnnn =+++ 210

where ng is the gas density without the plasma.During spontaneous emission, the excited

state 1 and 2 will emit photons at the followingfrequencies:

0110 EEh −=ν

0220 EEh −=ν

1221 EEh −=ν

If we can measure the number of photons emitting

Page 139: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Atomic Collisions and Spectra 135at these frequencies, we can then determine thedensity of excited states (n1 and n2) independently:

101 10

# photons at volumetime

n Aν= ⋅

202 20

# photons at volumetime

n Aν= ⋅

Now we have three unknowns (ne, n0, Te) for threeequations:

010121210 =−+>< AnAnvnne σ ( ) 02021220 =+−>< AAnvnne σ ge nnnnn =+++ 210

Therefore ne, n0, and Te can be calculated.Unfortunately the situation in real systems is

very different from this simplified model. Thus, theuse of plasma spectroscopy alone may not providethe enough information about the plasma systemthat we want to know. However, we can still obtainsome very valuable information on our plasmasystem from plasma spectroscopy.

Page 140: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B3136

Fig. 19. He Grotrian Diagram

Page 141: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Molecular Collisions and Spectra 137

v = 0

v = 1v = 2

v = 3v = 4

v = 5v = 6

Repulsive state

Bonding state

∆R R

E

v = 0

v = 1v = 2

v = 3v = 4

v = 5v = 6

Repulsive state

Bonding state

∆R

v = 0

v = 1v = 2

v = 3v = 4

v = 5v = 6

Repulsive state

Bonding state

v = 0

v = 1v = 2

v = 3v = 4

v = 5v = 6

Repulsive state

Bonding state

v = 0

v = 1v = 2

v = 3v = 4

v = 5v = 6

Repulsive state

Bonding state

∆R R

E

Fig. 1. Electronic states of a molecule.

v = 0

v = 1

v = 2

v = 3

v = 4

v = 5

v = 6

Zero-point energyv = 0

v = 1

v = 2

v = 3

v = 4

v = 5

v = 6

Zero-point energy

Fig. 2. Vibrational energy levels.

PRINCIPLES OF PLASMA PROCESSINGCourse Notes: Prof. J. P. Chang

PART B4: MOLECULAR COLLISIONS AND SPECTRA

Like atoms, molecules emit photons when theyundergo transitions between various energy levels.However, in molecules, additional modes of motion arepossible. They are rotation and vibration of molecules.Note that little energy is coupled through vibrational androtational states as these processes are inefficient. Thispoor coupling can be thought of as a momentumlimitation; i.e., the low mass, high velocity electroncannot excite these states in which momentum must betransferred to an atom. In a typical electron excitation ofrotational states, a single quantum is transferred. As aquantum for rotational states are of the order of 1 milli-eV, little energy is transferred. In electron impactexcitation of vibrational states, again typically only asingle quantum is transferred. The vibrational quantaenergy is of the order of 0.1 eV. An exception is thevibrational excitation of molecules in which the electronattaches to form a negative ion which is short lived. Thenegative ion has a different interatomic spacing, therefore,when the electron subsequently leaves, the molecule findsitself with a bond length that differs from the neutral state.The bond acts as a spring converting the energy into manyquanta of vibrational energy. A typical chemical bond isof the order of 4-5 eV; therefore in the discharges used inmicroelectronics processing, the excitation of rotationaland vibrational states are typically not significant.Nevertheless, the energy levels of molecules are furthercomplicated due to these additional modes of motion.

I. MOLECULAR ENERGY LEVELS

The molecular energy level can be represented by:

eE = eEe + eEv +eEJ , where

eEe is the electronic energy level eEv is the vibrational energy level = 1

2hv v +

eEJ = eEr is the rotational energy level = IhJJ 2

2

8)1(

π+

Note that v is the vibrational quantum number, and J is therotational quantum number.

1. Electronic energy levelFor diatomic molecules, the electronic states are

specified by the total orbital angular momentum, Λ, along

Page 142: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B4138

J = 0J = 1

J = 2

J = 3

J = 4

2 Bv4 Bv

6 Bv

8 Bv

J = 0J = 1

J = 2

J = 3

J = 4

2 Bv4 Bv

6 Bv

8 Bv

Fig. 3. Rotational energy levels.

0

5

10

J

0

5

10

J

05

10

J

05

10

J

05

10

J

05

10

J

05

10J

05

10J

05

10J

05

10J

X

Av

v

0

1

2

3

4

5

6

0

1

2

3

4

5

6

0

5

10

J

0

5

10

J

0

5

10

J

0

5

10

J

05

10

J

05

10

J

05

10

J

05

10

J

05

10

J

05

10

J

05

10

J

05

10

J

05

10J

05

10J

05

10J

05

10J

05

10J

05

10J

05

10J

05

10J

X

Av

v

0

1

2

3

4

5

6

0

1

2

3

4

5

6

Fig. 4. Vibrational and rotational energylevels.

the internuclear axis; and for Λ = 0, 1, 2, 3, symbolsΣ, Π, ∆, Φ are used. Note that all but the Σ states aredoubly degenerated.

The total electron spin angular momentum S is usedto determined the multiplicity, 2S+1, and is written as aprefixed superscript, as for the atomic states.

Analogous to the atomic LS coupling for atoms,another quantum number denoted as Ω=Λ+Σ is used as asubscript. Note that the allowed values of Σ are S, S-1, S-2, ., -S.

To complete the description for molecularspectroscopic terms, note that g (gerade or even) or u(ungerade or odd) subscripts denote whether thewavefunction is symmetric or antisymmetric uponinversion with respect to its nucleus. Superscripts of +and are used to denote whether the wave function issymmetric or antisymmetric with respect to a refectionplane through the internuclear axis.

So, the spectroscopic designation of a molecularstate is:

)(

)(12 −+

Ω+ Λ ug

S

For example, the ground state of H2 and N2 are singlets,1Σg

+, while the ground state of O2 is a triplet, 3Σg-.

A typical set of states in a diatomic molecule is inFig. 1: the lower curve is the ground electronic state inwhich the lowest energy is indicated by the x axis. In thelowest state, the molecule vibrates with the interatomicdistance varying over ∆R. Also indicated are the next fewhigher vibrational states and their interatomic ranges. Therotational states can also be added to the vibrational states.The upper curve is an excited state that is repulsive. Notethat an excited state can also be bonding with a minimumenergy.

2. Vibrational energy levelFor a harmonic oscillator, the vibrational frequency

km

∝ . For a diatomic molecule, the vibrational frequency

Rmk

∝ , where mR is the reduced mass of the system.

The vibrational energy level is eEv =

+

21vhν .

Therefore, the energy spacing is almost the same, but thespacing does decrease with increasing vibrationalquantum number due to the anharmonic motion of the

Page 143: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Molecular Collisions and Spectra 139

Fig. 5. HCl: (a) Details of allowedvibrational and rotational transitions, (b)spectrum lines, (c) intensity distribution.

Fig. 6. Actual infrared absorptionspectrum of HCl. The fine splitting is dueto H35Cl and H37Cl isotopic shift.

molecule (Fig. 2). Note that the lower energy level istypically labeled as "v and higher energy level is labeledas 'v , as shown later in Fig. 4.

3. Rotational energy levelFor a simple dumbbell model for diatomic

molecules, the moment of inertia is 2RI m r= . The

rotational energy level is:2

2( 1) ( 1)8J v

hE J J B J JIπ

= + ≡ +

Therefore, the energy spacing increases with increasingrational quantum number (Fig. 3). Again, the lowerenergy level is typically labeled as "J and higher energylevel is labeled as 'J , as shown in Fig. 4, where thedetails of the allowed vibrational and rotationaltransitions, spectrum lines, and intensity distribution.Note that X denotes the ground state, while A representsan excited state. Figure 5 shows the theoretical infrared absorptionspectrum of a diatomic molecule, HCl: (a) the allowedvibrational and rotational transitions, (b) the measuredspectrum lines, and (c) the intensity distribution. Notethat the P branch represents the transitions correspondingto ∆J=-1, while the R branch represents the transitionscorresponding to ∆J=+1. The Q branch is missing sincethe transition of ∆J=0 is forbidden. The actualexperimental result is shown in Fig. 6, while the finesplitting is due to the isotopic shift of H35Cl and H37Cl.

II. SELECTION RULE FOR OPTICAL EMISSIONOF MOLECULES

For practical applications, the following(approximate) selection rules are given for moleculartransitions:

Change in orbital angular momentum: ∆Λ = ± 1 Change in spin angular momentum: ∆S = 0

The selection rule for 'v to "v is: ∆v = ± 1 The selection rule for 'J to "J is: ∆J = ± 1

In addition, for transitions between Σ states, the onlyallowed transitions are Σ+!Σ+ and Σ!Σ; and forhomonuclear molecules, the only allowed transitions areg!u and u!g.

Page 144: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B4140

Fig. 7. Frank-Condon or adiabatictransition.

III. ELECTRON COLLISIONS WITHMOLECULES

The interaction time of an e- with a molecule is:

tc ~ 10-16 10-15 s

The typical time for a molecule to vibrate is:

tvib ~ 10-14 10-13 s

The typical time for a molecule to dissociate is:

tdiss ~ tvib ~ 10-14 10-13 s

The typical transition time for electric dipole radiation is: τrad ~ 10-9 10-8 s

The typical time between collision in a low pressureplasma is τc

These time scales are:

tc << tvib ~ tdiss << τrad << τc

1. Frank-Condon principleSince tc << tvib, electronic excitations are indicated

by vertical transitions in Fig. 7 as the interatomic distancecannot change in the time scale of the excitation. Such aprocess is sometimes called a Frank-Condon or adiabatictransition.

Since τrad >> tdiss,, if the energetics permit, themolecule will dissociate instead of de-exciting to theground state.

It should be noted that only certain energies can beadsorbed which correspond to the spacings indicated;however, the distribution in interatomic spacing as themolecules vibrate result in a broadening of the acceptableexcitation energies. Photoelectron excitations occur in amanner similar to this; however they have an additionalconstraint of spin conservation. In electron impact, spinconservation is not important as the process can be treatedas a three body event. Note that excited states can beshort-lived or may be metastable. Various electroniclevels have the same energy in the unbound limit( ∞→R ).

2. DissociationShown in Fig. 8 are various processes which lead to

dissociation in molecules.

e- + AB ! A + B + e-

Processes b-b' result in the excitation to a state in which

Page 145: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Molecular Collisions and Spectra 141

Fig. 8. Dissociation processes.

Fig. 9. Dissociative ionization anddissociative recombination processes.

the excited molecule is not stable. This results in theproduction of A+B with the excess energy beingconverted into translational energy of the molecularfragments. Excitations to curve 2 with lower energiesresult in a bonded electronically excited state. Excitationto curve 3 which is repulsive is indicated by processes a-a'. These excitation result in the production of A+B.Excitation c indicates the excitation to an excited statewhich is stable. This state can relax by the emission of aphoton to curve 3 resulting in dissociation or by curvecrossing to a repulsive state (curve 4) again resulting indissociation. Note in the latter process, B* is produced inan electronically excited state.

3. Dissociative ionizationFigure 9 are processes associated with ionization

and dissociative ionization.

e- + AB ! AB+ + 2e-

Note that curve 2 represents a stable molecular ion state(AB+). This state can undergo dissociative recombinationto produce fast and excited neutral fragments.

e- + AB ! A + B+ + 2e-

A repulsive ion state, curve 4 is also shown which alwaysresults in fragmentation after ionization.

4. Dissociative recombination The electron collision illustrated in Fig. 9 as d and drepresents the capture of the electron leading to thedissociation of the molecule. Thus, this process is calleddissociative recombination.

e- + AB+ ! A + B*

5. Dissociative electron attachmentDepending upon the dissociation energy and the

electron affinity of B, the dissociative electron attachmentcan be categorized into autodetachment, dissociativedetachment, electron dissociative attachment, and polardissociation:

e- + AB ! AB-

e- + AB ! AB- ! A + B-

e- + AB ! A+ + B- + e-

Figure 10 shows a number of examples of electronattachment processes for molecules: (a) the excitation to arepulsive state requires electron energies greater than thethreshold energy, (b) the attachment requires littleelectron energy and can result in a stable negative ion orfragmentation, (c) the capture of a slow electron to a

Page 146: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B4142

Fig. 10. Electron attachment processesillustrating the capture of electron into:(a) a repulsive state, (b) an attractivestate, (c) repulsive state (with slowelectrons), and (4) polar dissociation.

repulsive state results in the formation of a negative ionthat is a fragment. (d) the excitation to a neutral excitedstate with sufficient energy that a positive and negativeion are simultaneously formed, i.e., polar dissociation.

6. Electron impact detachment Electron-negative ion collision can result in thedetachment of the electron to yield a neutral and oneadditional electron. Here the electron affinity of thenegative ion plays an important role.

e- + AB- ! AB + e- + e-

7. Vibrational and rotational excitation Electrons with sufficient energy can excitemolecules into higher vibrational and rotational energylevels. This is typically a two-step process, whereelectron is first captured (a negative ion forms) and thendetached to generate a vibrationally excited molecule.

e- + AB (v=0) ! AB-

AB- ! AB (v>0) + e-

IV. HEAVY PARTICLE COLLISIONS The collisions between ion-ion, ion-neutral, andneutral-neutral are heavy particle collisions. Thesespecies all have much lower temperatures compared to theelectrons, thus move much slower compared to theelectrons. The important heavy particle collisions are:

1. Resonant and non-resonant charge transfer Resonant charge transfer is important in producingfast neutrals and slow ions, that would modify the overallchemical reactivity of plasma towards the surface.

A+ + A ! A + A+

Non-resonant charge transfer can take place betweenunlike atoms/molecules or between an atom and amolecule. A+ + B ! A + B+

Figure 11 shows the non-resonant charge transfer betweenN+ and O, while several non-resonant charge transferreactions between oxygen molecule and atom areimportant in an oxygen plasma.

2. Positive and negative ion recombination As discussed in Atomic Collisions and Spectra, ion-ion recombination is a type of charge transfer and can bethe dominant mechanism for the loss of negative ions in alow pressure electronegative plasma.

Page 147: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Molecular Collisions and Spectra 143

Fig. 11. Nonresonant charge transferprocesses.

Fig. 12. Associative detachment process:(a) AB- ground state above AB groundstate, (b) AB- ground state below ABground state.

A- + B+ ! A + B*

3. Associative detachment The associative detachment process is shown in Fig.12. Depending upon the energy level of AB-, thedissociation process varies.

A- + B ! AB + e-

4. Transfer of excitation As discussed in Atomic Collisions and Spectra,transfer of excitation can take place in the plasma,including the Penning ionization.

A + B ! A+ + B + e-

A + B ! A* + B A + B* ! A+ + B* + e- (Penning ionization) A + B* ! AB+ + e-

A + B* ! A* + B

5. Rearrangement of chemical bonds Chemical bond rearrangement can also take place inthe plasma, making the composition more complex.

AB+ + CD ! AC+ + BD AB+ + CD ! ABC+ + D

AB + CD ! AC + BD AB + CD ! ABC + D

6. Three-body processes As discussed in Atomic Collisions and Spectra, threebody collisions are important processes that conserve theenergy and momentum, and allow complex chemicalreactions to take place in the plasma gas phase.

a) Electron-ion recombinatione- + A+ ( +e- ) ! A + ( +e- )

b) Electron attachmente- + A ( +M ) ! A- + ( +M )

c) AssociationA+ + B ( +M ) ! AB+ + ( +M )

d) Positive-negative ion recombinationA- + B+ ( +M ) ! AB + ( +M )

V. GAS PHASE KINETICSThe unique chemical reactions that take place in a

plasma are almost entirely caused by inelastic collisionsbetween energetic electrons and neutrals of thermal

Page 148: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B4144

Fig. 13. Simplified energy diagram forO2.

Table 1. Threshold energy foroxygen excitation.

Reactions Eth (eV)

1) O2+e¯ ! O2(v) + e¯, v=1..10 1.95

2) O2+e¯ ! O2(1∆g) + e¯ 0.98

3) O2+e¯ ! O2(b1Σg+) + e¯ 1.64

4) O2+e¯ ! O2(A3Σu+) + e¯ 4.50

5) O2+e¯ ! O2(*) + e¯ 6.00

6) O2+e¯ ! O2(**) + e¯ 8.00

7) O2+e¯ ! O2(***) + e¯ 9.70

8) O2+e¯ ! O2+ + 2 e¯ 12.20

9) O2+e¯ ! O + O + e¯ 6.00

10) O2+e¯ ! O¯ + O 3.60

energy. The inelastic scattering produces a host of excitedstates, which then relax and/or interact by collisionbetween particles or by collisions with the walls of thereactor.

An energy level diagram for oxygen, a diatomicmolecule, is shown in Fig. 13 to illustrate the complexityof possible gas phase reactions in an oxygen plasma. Theelectron states of O2

-, O2, and O2+ are shown. Only

attractive states are shown in this simplified energydiagram, though repulsive state do exist. Severalattractive states shown here are metastables, including 1∆g,1Σg

+, and 3∆u states of O2. The threshold energy foroxygen excitation processes is shown in Table 1.

A short list of the reactions that take place in anoxygen plasma is in Table 2 for an analysis: Reactions 1and 2 involve the inelastic scattering of an electron withneutrals and are characterized by collision cross-sections,while reactions 3-5 are heavy particle collisions and arequantified in terms of rate coefficients.

Table 2. Reactions in an oxygen glow dischargeReaction ki σ, cm2

1. Ionization: e¯ + O2 ! O2+ + 2e¯ 2.7x10-16

2. Dissociative attachment: e¯ + O2 ! O + O¯ 1.4x10-18

3. Charge transfer: O+ + O2 ! O2+ + O 2x10-11 cm3/s

4. Detachment: O¯ + O ! O2 + e¯ 3x10-10 cm3/s5. Atom recombination: 2O + O2 ! 2O2 2.3x10-33 cm6/s2

The cross-sections can be related to to an effectivereaction rate coefficient by:

2 ( ) ( )i io

Ek E f E dEm

σ∞

= ∫ (1)

This equation represents an integration over all electronenergies of the product of the electron velocity, thecollision cross-section, and the electron-energydistribution function. The collision cross-section can beconsidered the probability that during a collision a certainreaction takes place. It has the units of area to bedimensionally correct; however, this area has only a vagueinterpretation in terms of the distance at which theparticles must approach to react in a specific manner. Thecollision cross-section is a function of the energy of theelectron in most cases. The energy dependence for anumber of processes is shown in Fig. 14.

The modeling of an oxygen discharge using MBD isreasonably successful in predicting rate constants forinelastic collisions with a threshold energy below that ofthe average electron energy. However, for predicting

Page 149: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Molecular Collisions and Spectra 145

Fig. 14. Elastic and inelastic collisioncross sections as a function of energy forelectron impact reactions of O2.

(A) elastic scattering,(B) rotational excitation(C) vibration(D) (E) (F) electronic excitations(I) dissociative attachment(J) ionization

Fig. 15. Fractional power input to elasticand inelastic collisions as a function ofEe/p for oxygen.

higher threshold events, such as ionization, such modelinggives poor results. The failure to model the high energyprocesses reflects the greater deviation from MBD in thehigh energy tail region, as the Druyvesteyn modelsuggests. For the high energy processes, the variation ofcollision cross-sections with energy and the effects of theelectron-velocity distribution must be taken into account.

In addition, the power dissipation in a plasma can berelated to the various collisions and their energies,

2m j j i d

j

mPower E k nN E k nN E k nN E k nM

= + + +∑ (2)

where E indicates the average excitation energy foreach process, Ej is the energy loss for the jth process, km =νm/N is the rate constant for momentum transfer, kj is therate constant for the jth inelastic process, ki is theionization rate constant, and kd is the effective diffusionrate constant. Since the cross-sections for the processesvary with energy, plotting the fractional energy dissipatedfor rotational, vibrational, dissociation, and ionizationprocesses reveals significant variation in the partitioningof power to different processes as a function of Ee/p.Figure 15 shows this partitioning of energy for an oxygendischarge. It should be noted that less than 1.5% of thepower is lost in elastic collisions.

Since oxygen plasma is widely used in themicroelectronics industry to ash photoresist, we will usethe production of oxygen atoms in a plasma as an examplehere. The major mechanisms contributing to oxygen atomgeneration and loss are listed below:

1a. e− + O2 → O2*(A3 ∑+

u) + e− → 2O(3P) + e−

1b. e− + O2 → O2*(B3 ∑−

u) + e− → O(3P) + O(1D) + e−

2. 2O + O2→ 2O2

3. 3O → O + O2

4. O + 2O2 → O3 + O2

Assuming that the electron energy distribution is MBD,and the rate coefficients can be calculated for each ofthese reactions (k1 k4). In addition, a surfacerecombination coefficient γ is used to account for atomicoxygen loss through interaction with the walls of thereactor. Assuming that the reactor design can be modeledas a plug flow in the tube, the differential mass balancefor the reactor can be written as:

Page 150: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B4146

Cross-section × dL = dV

Flowrate = F

Cross-section × dL = dV

Flowrate = F

Fig. 16. Plug flow reactor.

Fig. 17. Electron density as a function ofpressure.

Fig. 18. Conversion and yield vs.pressure.

12

2 3 22 3 4

4 1 2 ( )(2 ) 2

2 ( ) 2 2 ( )

oe o

o

o o

Fn dn nv k n n nn n dV R

k n n n k n k n n n

γ= − + < > −−

− − − − −

(3)

where no is the total number density of gas particles, n isthe number density of atomic oxygen, F is the flow rate, Vis the reactor volume, and v is the oxygen atom velocity.The left-hand-side term is the total rate at which atomicoxygen atoms within the differential volume dV areaccumulated. The concentration can be determined byintegrating V from the inlet of the tube, where the densityand composition are known, to the exit of the tube. Thefirst term on the right-hand-side is the rate of O loss byrecombination on walls of the reactor to form O2. Thefollowing terms are the rate that atoms are created byelectron impact reactions 1a and 1b, and the losses byreactions 2, 3, and 4, respectively. Note that <ne> is afunction of p and Λ (the characteristic diffusion length ofthe system), as shown in Fig. 17. The above equation canbe solved and compared with experimental results asshown in Fig. 18. Note that the total reaction yield (totalamount of O produced), G, and conversion (fractionconcerted to O), y, are defined as:

2 o

nyn n

=−

(4)

67 10 yFG

Power V⋅

= (5)

The decrease in conversion with pressure is a result of thereduction of the dissociation rate (reaction 1), since <ne>and k1 decrease with increasing pressure. The increasedpressure also causes an increase in the homogeneousrecombination rate, reactions 2-4, but these are minorlosses at these low pressures.

This model only predicts the plasma gas-phaseconcentration of atomic oxygen, and the calculation ofashing rates is more difficult in that it requires additions tothe model for both more complex surface reactions andconsideration of additional species in the plasma-phase.For each additional species in the plasma, an additionalequation similar to that above must be considered andsolved simultaneously.

Information about a Cl2 plasma is shown in Table 3and Fig. 19 and 20 as a reference and for the homeworkproblems.

Page 151: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Molecular Collisions and Spectra 147Table 3. Gas-phase reaction mechanisms in a chlorine plasma.

1. The reaction threshold energy and constants of the rate constants are listed for comparison.

1/ 2

0

2( ) ( ) expBe

e

E Ck f E E dE ATm T

σ∞ − = =

∫ ; units: k [cm3s-1]; Te [eV]

where A, B, C and the threshold energy are summarized below:

Reaction A B C Ethreshold(1) e- + Cl2 ! e- + Cl2 2.18×10-2 -1.433 16 304.0 0.07(2) e- + Cl2 ! Cl- + Cl 2.33×10-11 0.237 9163.8(3) e- + Cl2 ! Cl + Cl + e- 2.11×10-9 0.232 54866.0 2.50(4) e- + Cl2 ! e- + Cl2 9.47×10-11 0.445 113840.0 9.25(5) e- + Cl2 ! Cl2

+ + 2e- 1.02×10-10 0.641 150810.0 11.48(6) e- + Cl- ! Cl + 2e- 1.74×10-10 0.575 48883.0 3.61(7) e- + Cl ! e- + Cl* 2.35×10-5 -0.953 124040.0 9.00(8) e- + Cl ! e- + Cl 1.53×10-9 0.183 113280.0 9.55(9) e- + Cl ! e- + Cl 2.14×10-10 0.189 126890.0 10.85(10) e- + Cl ! e- + Cl 6.35×10-11 0.187 148090.0 12.55(11) e- + Cl ! e- + Cl 1.07×10-8 0.075 134280.0 11.65(12) e- + Cl ! e- + Cl 5.47×10-9 0.073 141 400.0 12.45(13) e- + Cl ! e- + Cl 3.70×10-9 0.053 146 370.0 12.75(14) e- + Cl ! e- + Cl 2.00×10-7 -0.235 126 730.0 10.85(15) e- + Cl ! e- + Cl 5.61×10-8 -0.241 143 350.0 12.15(16) e- + Cl ! Cl+ + 2e- 5.09×10-10 0.457 155 900.0 13.01(17) e- + Cl* ! Cl+ + 2e- 9.29×10-9 0.265 47 436.0 3.55(18) Cl2

+ + Cl- ! 2Cl + Cl 1.00×10-7 0.000 0.0(19) Cl+ + Cl- ! Cl + Cl 1.00×10-7 0.000 0.0(20) Cl+ + Cl2 ! Cl2

+ + Cl 5.40×10-10 0.000 0.0(21) Cl + Cl+ M ! Cl2 + M 3.47×10-33 0.000 -810.0(22) Cl* + Cl2 ! 3Cl 5.00×10-10 0.000 0.0

M: Third body.

2. Important spectroscopic information for Cl2:

(a) Electronic state: 1∑g+(b) Vibrational constant: 559.7 cm-1

(c) Vibrational anharmonicity: 2.68 cm-1

(d) Rotational constant: 0.2440 cm-1

(e) Rotation-vibration interaction constant: 0.0015 cm-1

(f) Centrifugal distortion constant: 0.186×10-6 cm-1

(g) Interatomic distance: 1.988 Å

Page 152: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B4148

Figure 19: Elastic and inelastic collision cross sections as a function of energy for electronimpact reactions of Cl2.

0.01 0.1 1 10 100

0.01

0.1

1

10

Ion Pair Formation

Single ionizationof ClNeutral

Dissociation

Total Ionization

Total Dissociative Attachment

Total ElasticTotal Scattering

Cros

s Sec

tion

(10-2

0 m2 )

Electron energy (eV)

Page 153: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Molecular Collisions and Spectra 149

Fig. 20: Potential Energy Diagram of Cl2.

Page 154: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Diagnostics 151

Red shading

Blue shading

No shading

Wavelength

Red shading

Blue shading

No shading

WavelengthFig. 1. Signatures of molecular spectra.

PRINCIPLES OF PLASMA PROCESSINGCourse Notes: Prof. J. P. Chang

PART B5: PLASMA DIAGNOSTICS

In order to understand the plasma chemistry, variousplasma process diagnostic tools have been developed toquantify the concentrations of various reactive species inthe plasma. The basic principles of their operation aredescribed here with examples and theiradvantages/disadvantages. Keep in mind that a diagnostictechnique is no more intelligent than the person who isusing it, and it requires careful analysis of themeasurement to obtain meaningful data.

I. OPTICAL EMISSION SPECTROSCOPY

1. Optical EmissionOptical emission from a plasma occurs primarily

through the electron impact excitation of atoms ormolecules to an excited state, followed by a relaxation to alower energy state releasing a photon containing anenergy equal to the difference between these two energystates. Analysis of the photon energy (wavelength oflight) and spectral emission information of species cantherefore be used to infer the composition of the speciesthat produced it.

Optical emission of atoms is reasonably straight-forward, since only electronic state transitions can occur.Therefore atomic spectra have sharp, nearly mono-energetic, and well-defined peaks corresponding totransitions between various electronic states.

Molecules, however, have a larger number ofelectronic states, and also have both vibrational androtational states superimposed upon their electronic states.The small energy differences between the vibrational androtational states, the broadening of emission energiescaused by collisions, and movement of the emittingmolecules cause the emission to overlap and form bandsrather than sharp emission peaks at easily identifiedfrequencies. Typically, a sharp bandhead is observed andcan be used to identify the band, while red or blueshadings, as shown in Fig. 1, are due to the fact that theupper state is less or more tightly bounded than the lowerstates. Keep in mind that a bandhead or a shading is notalways observed.

At higher pressures, collisions broaden the emissionenergy, but such broadening is not usually observed in thelow pressure discharges used in plasma processing. Other

Page 155: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B5152

Electronic 2

Electronic 1

Vib 2

Vib 3

Vib 4

Vib 2

Vib 3

Vib 4

Rotational states

Ener

gyA*

A1

E*-E1

Photon emission from electronic state * to 1

AElectronic 2

Electronic 1

Vib 2

Vib 3

Vib 4

Vib 2

Vib 3

Vib 4

Rotational states

Ener

gyA*

A1

E*-E1

Photon emission from electronic state * to 1

A

Fig. 2. Schematic of photon emissionprocess

Table 1. Energy separationEnergy level Energy (eV) Energy (cm-1)

Electronic 0.8-18 6500-145000Vibrational 0.02-0.6 200-5000Rotational 0.00001-0.0006 0.1-5

LensPlasmaSpectrometer

DetectorRecorder

LensPlasmaSpectrometer

DetectorRecorder

Fig. 3. Schematic drawing of an opticalmonochromator system for the analysis

of photoemission from a plasma.

mechanisms for optical emission are chemiluminescencein which the excess energy from an exothermic chemicalreaction emits light. For example, the blue color at thebase of a flame is produced chemiluminescence. Emissioncan also be produced by ion-neutral collisions, sputteringproducts that are released in an excited state, excitedproducts of electron impact dissociation, absorption ofphotons and subsequent fluorescence or phosphorescence,collisional relaxation of metastable, etc.

Shown in Fig. 2, the electron impact excitation ofthe ground state of atom A to an excited state A*,followed by subsequent emission at frequency ν to alower energy level A1. The usual wavelengths are in theoptical band, i.e., λ ~ 20008000Å. The typical energyseparation of electronic, vibrational, and rotationaltransitions is summarized in Table 1.

2. SpectroscopyOptical emission spectroscopy, which measures the

light emitted from a plasma as a function of wavelength,time, and location, is the most commonly used plasmadiagnostic probe for microelectronics fabricationprocesses. The rate at which such transitions occur isdetermined by the quantum mechanical similarity of thestates. This similarity leads to selection rules that indicatethe allowed transitions. The energy of the photonsemitted by the plasma, therefore, is characteristic of thecomposition and energy state of species within theplasma. The spectra can be used to analyze both thechemical species that make up the plasma and their stateof excitation. Because it is non-intrusive, inexpensive,and can be easily incorporated into an existing plasmareactor, it quickly gains popularity in the microelectronicsindustry for monitoring the plasma processing. However,the large information content makes the interpretation ofthe spectra difficult. For this reason, it is primarily usedas a fingerprint that is compared with spectra takenwhile a process is working well to identify the state ordrift of the plasma. As a research and development tool, itcan be very useful in understanding the basic processeswithin the plasma. It can be quite effective andquantitative if combined with other measurements.

A schematic of the optical emission analysis isshown in Fig. 3. Optical emission measurements areusually made through a quartz window on the plasmareactor, with a monochromator system that measuresemission wavelengths between 200 and 1000 nm by therotation of a diffraction grating. A typical scan wouldtake on the order of a minute for this range of frequencies.

Page 156: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Diagnostics 153

White light

Prism

White light

Prism

(a) prism

(b) grating

Fig. 4. Spectrometers

Therefore, only one emission energy can be monitored asa function of time during each experimental run in anetching process. Multichannel optical emission analyzersare also available, where diode array detectors are used tocollect the spectra from a monochromator with a fixedgrating. This technique has the advantage of acquiring ascan in a few seconds, so that multiple scans can be madeduring a process cycle to characterize transient processbehavior.

The required components for setting up an opticalemission spectroscopy include:a) Optical window: quartz or sapphire is used to

maximize the transmission of short wavelengths oflight. Experimental difficulties can be caused bydeposition on the chamber window through whichthe optical emission is sampled. Such deposits canselectively absorb emission, altering the spectra thatare observed. In some cases, this can be overcomeby purging the window with the input gas to keepthe window clean. In addition, heating of the opticalwindows could also reduce the deposition.

b) Spectrometers: Most of the photon detectors have afairly flat response for different wavelength of thephoton, thus, it is essential to disperse the plasmaemission into the different wavelengths prior to thephoto-detector. Several most commonly usedspectrometers are listed here:

• Prism: A prism works because the refractive indexof glass depends on the wavelength. However, theuse of prism in the plasma spectroscopy is not ascommon these days due to its limited resolvingpower. Note that the resolving power is defined asλ/∆λ and it defines how well a spectrometer candisperse the light into the different wavelengths.

• Gratings: After the invention of the ruling machineby Rowland, the diffraction gratings have been usedextensively for optical spectroscopy. Gratings witha resolving power of 100,000 can be easily obtainedin a moderate size grating. For example, an 8 cmwide grating with 1200 line per mm has a resolvingpower of about 100,000 comparable to a glass prismwith a base of 80 cm. One of the few shortcomingsof the gratings is its relatively small opticalthroughput when a high resolving power is needed.

• Filters: If the wavelength of a particular emission isknown and the interference from the nearbywavelength can be neglected, one can use filters to

Page 157: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B5154

photocathode

focusing electrode

dynodes anode

photocathode

focusing electrode

dynodes anode

(a) photomultiplier

photocathode

photodiodemulti-channel

plate

phosphorscreen

photocathode

photodiodemulti-channel

plate

phosphorscreen

(b) photodiode

(c) charge-coupled device

Fig. 5. Detectors

study the emission. A high quality filter can provideabout 1 to 2 nm resolution. The main advantages ofthe filter are its low cost and its high opticalthroughput, around 80 percent of light transmission.

c) Detectors: Photodetectors are essential for collectingthe optical emission for the subsequent analysis.

• Photomultiplier (PM) tube: The operation principleof a PM tube is based on the photoelectric effectwhere photons transfer their energy (hν) to excite theelectrons in the materials to a higher energy level, oreject electrons from the surface to a vacuum, asshown in Fig. 5(a). The ejected electron can beaccelerated by an external electrical field applied tothe cathode, dynodes, and anode. When theaccelerated electron hits the dynode surface, it ismultiplied and the total number of multiplicationwould be as many as 107 electrons per each photo-ejected electron in less than 10-8 second. This largemultiplication and the fast time response makes thePM tube one of the most frequently used photondetector.

• Photodiode (PD): Photodiode arrays as shown inFig. 5(b) are multi-channel light detectors consistingof a few hundreds to thousands of pixels in a lineararray. Photoelectrons are generated through closelyspaced capillaries coated with low-work functionmaterials to emit secondary electrons and cause acascade.

• Charged coupled devices (CCDs): CCDs are theproduct of modern integrated circuits, as shown inFig. 5(c). In CCDs, the absorbed photon transfersthe energy to the electron in the light sensitivedetection area and excite the electron into theconduction band. With the externally appliedvoltage, this excited electron move through thedetection area and store in the adjacent capacitor.Accumulation of charge will occur as more electronsare moved to the capacitor until the capacitor isdischarged for readout. The advantages of the CCDsinclude their very small detector dimension, highsensitivity, and very high signal to noise ratio. Atypical dimension of a CCD detector is only about10 to 20 µm, thus a CCD with 1024×1024 array ofpixels will be only about 2×2 cm2. The moresensitive CCDs now approaches 0.8 quantumefficiency (0.8 charge per each photon hitting theCCD). Due to the large number of pixels, however,the readout period is often longer and the time

Page 158: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Diagnostics 155

Fig. 6. Optical emission spectrum of aN2 plasma.

Fig. 7. Optical emission spectrum of a92% CF4 8% O2 discharge.

Fig. 8. Optical emission spectrum of a74% CF4- 6% O2 plasma with 20% H2O.

Fig. 9. Line shape determines thecollision process.

resolution is usually several KHz. d) Other optical components: There are many different

optical components such as lens, mirrors, opticalfibers, optical stops, etc., available for variousspectroscopic applications. These components areused to enhance the overall performance of thespectroscopic system and should be selectedcarefully.

Shown in Fig. 6 is a spectrum from a N2 discharge.A fairly complex spectrum is seen for this fairly simplemolecule. The families of emission lines are caused bythe vibrational states superimposed upon the electronictransitions, for example, N2 (B3πg!A3Σu

+) and (C3πu!B3πg). If a more complex molecule or mixture is used, thedensity of lines increases and can cause the observation ofwhat appears to be a continuous band. For example, Fig.7 and 8 show spectra from a CF4/O2 plasma with andwithout the addition of water vapor. The atomic fluorineemits with very sharp peaks (around 700 nm) that can beeasily identified, but CF3 produces a continuous broadband. The effect of water vapor is clear, since F reactswith H2O to form HF, F is largely depleted from theplasma and disappeared from the spectrum. If water is thecontamination or a leak into the system, it would modifythe optical emission spectrum significantly, enabling thedetection of system failing with great sensitivity.

The line shape of the optical emission peaks allowsthe differentiation of various excitation processes, such asdifferentiating the radiation of atomic species due to directand dissociative excitation. Typically, the atomic linesare very sharp if the atoms originate directly from theelectron impact excitation. The atoms originate fromelectron impact dissociation of molecular species is morediffusive and broader because dissociative excitationgenerally results in excited neutral fragments havingseveral volts of energy, the radiation is Doppler broadenedand can therefore be distinguished from the much sharperlinewidth for radiation produced by direct excitation of aroom temperature atom. Subtracting the emissionintensity in the broadened tail from the total intensityallows the intensity due to direct excitation alone to bedetermined.

As shown in Fig. 9, optical emission of F in aCF4/Ar/O2 plasma is recorded. The line shape of opticalemission of F* at 703.7 nm is as sharp as the emission ofAr* at 703.0 nm, indicating that the F originates fromdirect electron impact excitation of the F atom:

Page 159: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B5156

Fig. 10. Energy diagram of Cl2

3s2.3p4(3P)4s

3s2.3p4(3P)4p

hν 837.6 nm

3s2.3p4(3P)4s

3s2.3p4(3P)4p

hν 837.6 nm

Fig. 11. Partial energy diagram of Cl

240 260 280 300

Si : 28

8.16 n

m

Si : 25

1.61 n

m

OES

Inte

nsity

Wavelength (nm)

Cl2+

Cl2

200 400 600 800 1000

2 Cl: 837.

59 nm

Cl: 792.

46 nm

Cl : 25

5 nm

OES

Inten

sity

Wavelength (nm)

Cl

Fig. 12. Optical emission from a Cl2plasma (Si as the substrate).

e− + F ! F* + e−

If the optical emission originates from the dissociation ofmolecular fluorine:

e− + F2 ! F + F* + e−

much broader optical emission lines will be observed.Figures 10-12 show the energy diagrams of Cl2 and

Cl, and the optical emission spectrum from a chlorinedischarge, outlining the signatures of Cl (837.6 nm), Cl2(255 nm), and Cl2

+. Chlorine is commonly used in themicroelectronics industry for etching various materials,and the densities of Cl, Cl+, and Cl2

+ increasedmonotonically with power at a constant chlorine pressure,whereas the Cl2 densities reduced.

As stated before, the line shapes of the opticalemission peaks aid to differentiate the various excitationprocesses. A set of detailed optical emission spectra froma Cl2/Ar plasma is shown in Fig. 13. The Cl* emission atthe anode is sharp, indicating an electron impactexcitation of atomic chlorine. The Cl* at the cathodecomprises of two components: one sharp feature and onebroader peak associated with the dissociative excitation(as shown with the two colored fitted lines). e− + Cl ! Cl* + e−

e− + Cl2 ! Cl* + Cl + e−

A number of different monitors have beendeveloped to indicate the completion of etching. Theycan be categorized as measuring either completion at aparticular point on a wafer (e.g. laser optical reflectivity)or measuring an average value for all the wafers. Thecommon difficulty among all of the end point detectors isdiscriminating the correct time of completion, since theoutput is a continuous function that often has only a minorchange in derivative as the process is completed.Discrimination is especially difficult if the process is notuniform across the reactor, leading to an even less abruptchange in the process at completion.

3. ActinometryTo interpret the optical emission spectra (OES) of a

plasma the kinetics of excitation and relaxation must beconsidered. The optical system contains emission at alarge number of wavelengths, corresponding to allowedtransitions between a combination of electronic,vibrational, and rotational states. The electron impact isresponsible for creating the excited neutrals, so theelectron distribution function must be known in order tocalculate quantitatively the concentration of neutrals from

hν = 255.0 nm

Page 160: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Diagnostics 157

Fig. 13. Line broadening indicatesdifferent processes.

the measured emission intensity.To illustrate the analysis, let nA be the concentration

of the free radical A and let Iλ be the optical emissionintensity integrated over the linewidth. The emission dueto excitation from the ground state A can be written as

AAnI λλ α= (1)with

2*

0

( ) 4 ( ) ( )A D A A ek v dvQ v vf vλ λα λ π σ∞

= ∫ (2)

Here fe(v) is the electron distribution function, σλA is thecross section for emission of a photon of wavelength λdue to electron impact excitation of A, QA* is the quantumyield for photon emission from the excited state( 10 * ≤≤ AQ ), and kD is the response constant of thephotodetector. For low-pressure plasmas and excitedstates having short lifetimes, 1* ≈AQ , though QA* isgenerally less than unity for metastable states, due tocollisional or electric field de-excitation, ionization, orother processes that depopulate the state without emissionof a photon. We note that the cross section σλA differsfrom the cross section σA* for excitation of A to level A*,since spontaneous emission to more than one lower lyinglevel can occur. These two cross sections are related by:σλA=bλσA*, where bλ is the branching ratio for emission ofa photon of wavelength λ from the excited state A*.

Typically σλA is known but fe(v) is not; i.e., fe(v) isnot generally a single-temperature MBD. As plasmaoperating parameters (pressure, power, driving frequency,reactor size) are varied, fe(v) changes shape. In particular,the high-energy tail of the distribution, near the excitationenergy EA*, can vary strongly as discharge parameters arechanged. Consequently σλA changes and Iλ = αλAnA is notproportional to nA. This limits the usefulness of ameasurement of Iλ, which provides only qualitativeinformation on the radical density nA.

To quantify the concentration of the excited species,Coburn and Chen used a small concentration of inert tracegas of known concentration, nT, within the plasma (termedan actinometry gas) to interpret more quantitatively theemission spectra and determine the radical density nA ofinterest. By comparing the relative intensity of emissionfrom the reference trace gas and the species of unknownconcentration, they compensated for variations in theelectron distribution function. Typically a noble gas suchas Ar is used as it does not react, and therefore itsconcentration is relatively constant as parameters such as

Page 161: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B5158

σ

v

σλA

σλT/

v3fe(v)

σ

v

σλA

σλT/

v3fe(v)

Fig. 14. Principle of actinometry.

0

11.5

12.0

12.5

13.0

13.5

14.0

14.5

Ener

gy o

f Ele

ctro

nic

Stat

es o

f Ar (

eV)

1s31s5

(Metastable)

1s21s4

(Radiative)

2px States (x=1-10)

Higher states (3px, )

Ground state 0

11.5

12.0

12.5

13.0

13.5

14.0

14.5

Ener

gy o

f Ele

ctro

nic

Stat

es o

f Ar (

eV)

1s31s5

(Metastable)

1s21s4

(Radiative)

2px States (x=1-10)

Higher states (3px, )

Ground state

1s31s5

(Metastable)

1s21s4

(Radiative)

2px States (x=1-10)

Higher states (3px, )

Ground state

1s21s4

(Radiative)

2px States (x=1-10)

Higher states (3px, )

Ground state

Fig. 15. Energy level diagram of Ar, acommon actinometer.

plasma power are varied. The concentration of Ar iscomputed using the ideal gas law based on the totalprocess pressure and the fraction of gas that is used.

Now choose an excited state T* of the trace gas Tthat has nearly the same excitation threshold energy,

*** εεε ≈≈ AT . The cross sections )(vAλσ and )(' vTλ

σ forphoton emission of λ (from A) and λ' (from T) aresketched in Fig. 14. A typical form for the multiplicationfactor 3 ( )ev f v is also shown as the overlapping shadedarea. For the tracer gas,

TTnI '' λλ

α= (3)with

' * '' 2

0

( ) 4 ( ) ( )D eT T Tk v dvQ v vf v

λ λα λ π σ

= ∫ (4)

Since there is only a small range of overlap of fe(v) withσ, we approximate cross-sections with values near thethreshold: )('' thrTT

vvC −≈λλ

σ and )( thrAA vvC −≈ λλσ ,where the C's are the proportionality constants. We thentake the ratio of Iλ and Iλ' to obtain

λ

IInCn TATA = (5)

where( )

TTDAADAT CQkCQkfC ',),(,,),( *'

* λλ λλ= (6)

It is often possible to choose λλ ≈' such that)()( ' λλ DD kk ≈ , and assumes ** TA QQ ≈ . Hence the

constant of proportionality is related to the thresholdbehavior of the two cross sections. If nT is known and Iλ

and Iλ' are measured by OES, an absolute value of nA canbe determined. Even if CAT is not known, the relativevariation of nA with variation of plasma parameters can befound. A perfect actinometry trace gas would have across section for excitation that is identical to that of thespecies of interest. Any variations in the electron energydistribution would also cancel. However, this is difficultto find. In practice, the electron temperature in lowdensity plasma is fixed by the electron impact ionizationand dissociation processes. At lower power densities, asmall fraction of the gas is dissociated and little variationis observed with power, pressure, or electrode spacing.Therefore, actinometry has been found to work very welleven if the excitation cross sections are not well matched.For F optical emission at λ=7037Å with a thresholdenergy of 14.5 eV, a common choice for the tracer gas is

Page 162: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Diagnostics 159

Fig. 16. TRG-OES analysis[JVST A 15, 550 (1997)]

argon (as shown in Fig. 15) at λ'=7504Å with a thresholdenergy of 13.5 eV. Typically, nT is chosen to be 1-5% ofthe feed gas density.

Recently, Malyshev and Donnelly developed thetrace rare gases optical emission spectroscopy (TRG-OES) as a new, nonintrusive method for determiningelectron temperatures (Te) and estimating electrondensities (ne) in low-temperature, low-pressure plasmas,as shown in Fig. 16. Their method is based on acomparison of atomic emission intensities fromequimixture of He, Ne, Ar, Kr, and Xe rare gases to theplasma. For Maxwellian electron energy distributionfunctions (EEDF), Te is determined from the best fit oftheory to the experimental measurements. For non-Maxwellian EEDFs, Te is derived from the best fitdescribes the high-energy tail of the EEDF.

4. Advantages/disadvantagesThe primary advantage of optical emission analysis

is that it is non-intrusive and can be implemented on anexisting apparatus with little or no modification. Itprovides spatial and temporal resolution of the plasmaemission spectra and has very large information contentwhich yields much valuable information about the plasmaif analyzed properly. Moreover, it is relativelyinexpensive and can be used on more than one reactor.

However, its complex spectrum is often difficult tointerpret. Therefore, typically only the atomic lines areused in plasma process analysis. Molecular lines ofunknown origin are often used to monitor species whoseemission changes significantly upon the end point inplasma etching processes. It is reasonably effective as atrouble-shooting tool to identify contamination as water inthe process or an air leak, as long as the normalprocessing spectra haven been recorded. One of the mostlimiting factors of OES as a process diagnostic tool is themaintenance of the optical window. Deposition and/oretching of the window can significantly modify andattenuate the OES signal.

5. Application: end-point detectionEnd point detection is used to determine the end of a

plasma etching process to better control etching fidelity.The use of single wafer etchers where the process isterminated after a standard over-etch time determined byend point detection has resulted in improvement ofreliability and reduced process variation.

The control of the over-etching time is important tothe quality of etching processes. It is necessary to have a

Page 163: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B5160

0 500 1000 1500

OES

Inte

nsity

time (s)

2

Plasma o

ff

Bias of

f

Bias on

Plasma o

n

Si (251.67nm)

Cl (257.01nm)

Cl (837.62nm)

O (777.42nm)

Fig. 17. End point detection in Cl2etching of ZrO2.

sufficient over-etch to assure that the thin film has clearedat all points, and to remove stringers that result fromlocally thicker regions where the films are deposited oversteps. The excess etchant causes an acceleration of theetching of the remaining horizontal surfaces of the film.

Figure 17 shows the end-point detection of Cl2plasma etching of ZrO2 on Si by OES: the opticalemission from the reactant including atomic chlorine at837.62nm, molecular chlorine at 257.01nm and atomicoxygen at 777.42 nm and etching products such as atomicsilicon at 251.67 nm are monitored for end pointdetection. As the plasma was turned on, very strong Clintensity was detected, and a decrease in intensity wasobserved after applying the substrate bias due to a manualadjustment of the matching networks for both themicrowave source power and the RF substrate bias. Oncethe matching yielded a stable plasma, Cl, Cl2, and Ointensities remained relatively constant, until Si intensitywas detected upon reaching the etching end-point. Uponthe appearance of atomic Si emission, a slight decrease inboth Cl and Cl2 intensities was observed. This is likelydue to more chlorine consumption in etching silicon,which caused a measured chamber pressure decrease. Asthe pressure decreases, the electron temperature increasesto dissociate Cl2 and excite Cl more effectively, resultingin a continued decrease in Cl2 intensity and a recovery inCl intensity.

It is clear that optical emission can be used in thedetection of endpoints. Alternatively, several othertechniques suitable for end-point detection aresummarized in Table 2 for comparison.

Table 2. End-point detection techniques

Method Measuring Monitoring End point Emission Spectroscopy

Intensity of light emitted from discharge

Emission from reactive species and/or etch products

Average for all wafers

Full Wafer Interferometry

Intensity of light interference

Changes in film thickness

One wafer and in situ etch rate monitor

Optical Reflection

Interference phenomena or reflectivity differences

Changes in film thickness

One wafer and in situ etch rate monitor

Mass Spectrometry

Gas composition

Etch products Average for all wafers

Page 164: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Diagnostics 161

Fig. 18. Laser induced fluorescencesetup.

Fig. 19. A two photon excitation processfor oxygen, nitrogen, and chlorine.

Fig. 20. Spectrally resolved LIF signalsof a Cl2 plasma.

II. LASER INDUCED FLUORESCENCELaser induced fluorescence (LIF) is a technique

where the emission is created by external lightstimulation. The relaxation of the excited states yieldsphotons of specific wavelengths that can be used toidentify various species in the plasma. A schematicdiagram of the laser induced fluorescence is shown in Fig.18.

One of the most widely used laser inducedfluorescence technique involves a two-photon excitationprocess, where an excimer laser or a Nd:YAG laser isused to induce two or multiple photon excitation to aid theidentification of atomic species such as atomic oxygen.For examples, an incident laser of 226 nm wavelength caninduce a two-photon-excited optical transition of atomicoxygen: 2p (3P) ! 3p (3P). Then the fluorescence occursat 844nm due to the relaxation transition: 3p (3P) ! 3s(3S), as shown in Fig. 19.

LIF can also be used to investigate the effect of anelectric field on the P, Q, and R- branch of the rotationalspectra, as shown in Fig. 20. Though Q- branch isforbidden by the selection rule, the electric field can causeStark interaction and the Q- branch gains intensity at theexpense of the P and R branches. This effect iscorroborated by the first principle calculations and provesthe capability and sensitivity of such measurement.

These optical techniques can be combined forcorroboration and improvement of the ability to quantifythe concentration of plasma species. Shown in Fig. 21 areresults from an O2/CF4 plasma mixed with 2-3% Ar addedas a tracer gas. The oxygen concentration was determinedby actinometry using O atom emission at two differentwavelengths, λ=7774Å (3p5P!3s5S) and λ=8446Å(3p3P!3s3S), each ratioed to the Ar emission atwavelength λ'=7504Å. When compared to the two-photonLIF measurement, it can be seen that the 8446/7504 Åactinometric measurement tracks the two photon LIFmeasurement fairly well as the CF4% is varied. However,the 7774/7504 Å measurement yields a saturation ofoxygen concentration rather than a decrease as the CF4concentration is lowered to below 20%, contrary to theLIF measurement.

Again, this is due to the competition of thedissociative excitation process: e− + O2 ! Cl* + Cl + e− ! 2Cl + e− +hνwith the direct excitation process: e− + O ! O* + e− ! O + e− +hν

Page 165: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B5162

Fig. 21. Comparison of OES and LIF.

n1

n2

n3

dfilm

λ

θ1

θ2

a b cIncident Reflected

n1

n2

n3

dfilm

λ

θ1

θ2

a b cIncident Reflected

Fig. 22. Schematic drawing of a laserinterferometry apparatus.

such that the measured optical emission intensity

2 2O O O OI n nλ λ λα α= +

has a component proportional to the O2 feed density 2On

as well as the atomic density On . The actinometricmeasurement of On will fail if

2 2O O O On nλ λα α≤ , which isthe case for the 7774 Å measurement.

III. LASER INTERFEROMETRYLaser interferometry measures the reflection of a

laser from the thin film being etched. At each interface,the light interacts with both media and will be reflected,absorbed, or transmitted. Interference between lightreflected from the upper surface and the lower interface ofthe film causes a periodic variation of the reflected laserbeam as the film thickness varies, as shown in Fig. 22.The incident light is at a wavelength of λ, travels througha medium with a refraction index of n1 (=1 air), andencounters a thin film of thickness d, with a refractiveindex of n2 at an angle of θ1. The incident light reflectsfrom the surface of the thin film to yield a reflected aray; it also reflects off the interface between the thin filmand the substrates (index n3) to yield the b ray. The cray is due to a multiple reflection. Due to the phase shiftassociated with the angle of incidence and film thickness,constructive/destructive interference can occur.

At normal incidence, the peaks and valleys of thereflectivity as a function of time correspond to filmthicknesses passing through ¼ wavelength multiples ofthe laser light in the thin film. This technique can be usedon patterned thin films to determine the etching rate of thefilm, if the film does not cover too large a percentage ofthe surface.

Application of this technique requires an abruptchange in refractive index at the thin film/substrateinterface. A sufficiently thin and transparent film isrequired to ensure some laser reflection at the interface.The interference created between the light reflected fromthe film being etched and the underlying thin filmproduces a sinusoidal intensity that can be used to monitorthe etching rate of the thin film. For a laser beam strikingthe film at an angle θ1 to the normal, the reflected beamamplitude oscillates due to interference, and the change offilm thickness d is given by:

122

2 sin

2/

θ

λ

−=∆

nd (7)

Laser interferometry measures the periodic

Page 166: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Diagnostics 163

0 2 4 6 8 10

0.0

0.2

0.4

0.6

0.8

1.0

0 2 4 6 8 10

0.0

0.2

0.4

0.6

0.8

1.0

Inte

nsity

Time (min)(b)

(a)

Inte

nsity

Time (min)

Fig. 23. Computed interferometrysignal without photoresist. Theinterference pattern (a) originates froma more reflective thin film than that in(b).

0 2 4 6 8 10

0.0

0.2

0.4

0.6

0 2 4 6 8 10

0.0

0.2

0.4

0.6

0.8

1.0

Inte

nsity

Time (min)(b)

(a)

Inte

nsity

Time (min)

Fig. 24. Computed interferometry signalwith equally-lined-and-spaced photo-resist. The interference pattern (a)originates from a less reflectivephotoresist.

Fig. 25. Setup for performing the fullwafer interferometry.

reflection from a film in which the extinction coefficientof the laser light is sufficiently low, e.g., polysilicon andoxide can be readily measured. For metals and mostsilicide films, no periodicity is seen because of their highextinction coefficients (the light is adsorbed in the film),but an abrupt change in reflectance is recorded uponclearing.

Using etching of Si on SiO2 as an example, theinterferometry pattern can be easily determined byspecifying the index of refraction of all materials and thereflectance, transmittance, and absorbance of all materials.Figure 23 shows the computed interference pattern ofblanket Si on SiO2: (a) 50% reflection and 50%transmission for Si and 100% reflection for SiO2, and (b)25% reflection and 75% transmission for Si and 100%reflection for SiO2. The variation in the interferometricamplitude is larger in the case where the thin film is morereflective.

Figure 24 shows the computed interference patternof equally-lined-and-spaced photoresist pattern on Si onSiO2: (a) 25% reflection and 75% absorption forphotoresist, 50% reflection and 50% transmission for Siand 100% reflection for SiO2 , and (b) 75% reflection and25% absorption for photoresist, 50% reflection and 50%transmission for Si and 100% reflection for SiO2. Due tothe presence of the photoresist pattern, the interferometricsignal was modulated at periods greater than theinterferometric signal in the absence of the photorsistpatterns. This modulation is more significant when thereflectivity of the photoresist is larger.

The laser interferometry is quite inexpensive, butmay require modification of the plasma reactor if it is notequipped with the appropriate windows. Minordifficulties can occur if the window becomes dirty. Thetechnique provides a continuous measure of the etchingrate, displays any inhibition period, monitors the variationin etch rates, and detect the onset of surface rougheningby a continuous decrease in amplitude of the reflectance.

IV. FULL-WAFER INTERFEROMETRYFull wafer interferometry uses a CCD camera

interface with a computer to collect the modulation ofreflectivity from the wafer as a function of time, andthereby, determine the etching rate of thin film materialsor endpoint of highly reflective materials, as shown in Fig.25.

Full wafer interferometry is able to measure etchinguniformity across a wafer, within dies, and aspect ratio

Page 167: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B5164

Fig. 26. Interferometry signal forpolysilicon measured by the CCDtechnique.

Fig. 27. Schematic drawing of a massspectrometer setup.

Fig. 28. Schematic drawing of aquadrupole mass spectrometer.

dependent etching, if structural information within the dieis available. This technique uses the light emission fromthe plasma unlike laser interferometry where a laser isused to illuminate the wafer. The resolution of etchingrate is approximately 1 %. It also works for submicronfeatures as the interference occurs in the unetched region,as long as the wavelength of the interfering light is longerthan the feature size. For example, measurements of 0.5µm patterned lines and spaces have been performed with0.6 µm light.

As shown in Fig. 26 (a) during the etching ofpatterned polysilicon, the actual CCD signal measured forboth a bare polysilicon region and a region patterned withphotoresist. The patterned polysilicon region shows anattenuated signal due to the presence of the photoresist.Figure 26 (b) presents the magnitude of the FFT for thesignals in Fig. 26 (a). The dotted line is the FFT spectrumfor the bare polysilicon region, which yields an etchingrate of 296 nm/minute. The solid line is the FFT spectrumfor the patterned polysilicon region, which yields a loweretching rate of 248 nm/minute.

V. MASS SPECTROMETRYMass spectrometer has long been used to measure

the composition of a gas by ionizing the gas, typically byelectron impact ionization, and separating the resultingions by the mass-to-charge ratios, and the set up istypically as shown in Fig. 27. For a time-of-flight massanalyzer with a flight tube of L, the ions are dispersed intime:

2 / 2

2 /

/ / 2

E mv zV

v E m

t L v L m Vz

= =

=

= =

(8)

The field created by the quadrupole structure causes theions to transverse between the poles in a helical path thatis stable for only a particular mass-to-charge ratio (Fig.28). The ions that successfully pass through the massfilter are collected and the resulting current is amplified toform the mass spectrometer signal. Varying the AC andDC potentials allows the selection or scanning of mass-to-charge ratios, as shown in Fig. 29.

To avoid detecting species that are modified bycollisions with chamber walls, the mass spectrometermust be mounted as close to the discharge as possible, sothat the gas entering the mass spectrometer chamber hasminimal opportunity for contacting the walls. In mostplasma processes, the mass spectrometer measures the gas

Page 168: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Diagnostics 165

Fig. 29. Operation of a quadrupolemass spectrometer. The alternating RFfield results in a narrow mass peak of a

certain mass-to-charge ratio.

360 365 370 375 380 385 390 395 400m/e (amu)

ZTB CH3

F rom Zr, C, H , OIsotope Ca lculatio n

150 200 250 300 350 4000

1000

2000

3000

4000

5000

Coun

t Rat

e (c

/s)

m/e (amu)

367

360 365 370 375 380 385 390 395 400m/e (amu)

ZTB CH3

360 365 370 375 380 385 390 395 400m/e (amu)

ZTB CH3

360 365 370 375 380 385 390 395 400m/e (amu)

ZTB CH3

F rom Zr, C, H , OIsotope Ca lculatio n

F rom Zr, C, H , OIsotope Ca lculatio n

150 200 250 300 350 4000

1000

2000

3000

4000

5000

Coun

t Rat

e (c

/s)

m/e (amu)

367367

Fig. 30. Fragmentation pattern ofzirconium t-butoxide (ZTB).

composition at a point between the chamber and thepumping system. However, for research studies, the massspectrometer can be built into the system so that itmeasures the ion and neutral flux incident upon anelectrode through the use of an orifice in the electrode.With this technique, both the ion and neutral fluxes uponthe electrode can be recorded, and the species to bedetected pass from the plasma reactor into the ionizer ofthe mass spectrometer in a line-of-sight path, minimizingthe corruption of the gas composition caused by collisionof gas with walls.

In a plasma, the neutrals, radicals, and ions in theplasma can be sampled through an orifice for analysis.Ions can be directed analyzed based on their mass-to-charge ratio. For detecting neutrals and radicals, ionsneed to be deflected and neutrals be ionized for detection.During electron impact ionization, the fragments createdfrom a number of different species could have the samemass-to-charge ratio, thus a quantitative analysis requiresthe measurement of the cracking patterns for each species,and a comparison of these individual spectra to thecomposite spectrum. From this, the combination andquantity of species whose spectra would sum to thecomposite spectrum can be determined.

As an example, the PECVD of ZrO2 using anorganometallic Zr(OC4H9)4 precursor and O2 is discussedhere. The cracking pattern of Zr(OC4H9)4 is firstcharacterized in the absence of plasma, as shown in Fig.30. Several Zr-containing fragments could be easilyidentified in the mass spectrum using the relativeabundance of naturally occurred Zr isotopes, i.e., 90Zr(51.45 %), 91Zr (11.22 %), 92Zr (17.15 %), 94Zr (17.38 %),and 96Zr (2.80 %). The highest mass and most abundantfragment corresponds to a ZTB molecule missing onemethyl group, Zr(OC4H9)3(OC3H6), at m/z=367-373,whose isotopic mass patterns exactly matched thosecalculated based on the relative elemental isotopicabundances, as shown in the inset of Fig. 30. With thecracking pattern determined, the quantitative analysis isthus possible.

In Fig. 31, three ion mass spectra in the m/z rangeof 85-170 from the ZTB plasmas with O2/ZTB(Ar) ratiosof 0, 0.5, and 4 are shown at the same pressure andmicrowave power. The ion intensities were normalized tothe Ar flow rate, which scales with the feed rate of ZTBprecursor, and the normalized intensity of the mostabundant ZrOxHy

+ in each spectrum was comparable. It isclear that Zr+ and ZrO+ are the dominant Zr-containing

Page 169: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B5166

90 100 110 120 130 140 150 160 1700

2500

5000

7500

10000

(b) O2/Ar=0.5

(a) O2/Ar=0

(c) O2/Ar=4

Mass-to-charge ratio

0

2500

5000

7500

100000

2500

5000

7500

10000

90 94 980

50

100

160 164 1680

50

100

150

Coun

t rat

e no

rmal

ized

to A

r flo

w ra

te (c

ts/s s

ccm

)Zr+

ZrO+

ZrO2H+

ZrO3H3+

ZrO4H5+

ZrO2+

90 100 110 120 130 140 150 160 1700

2500

5000

7500

10000

(b) O2/Ar=0.5

(a) O2/Ar=0

(c) O2/Ar=4

Mass-to-charge ratio

0

2500

5000

7500

100000

2500

5000

7500

10000

90 94 980

50

100

160 164 1680

50

100

150

Coun

t rat

e no

rmal

ized

to A

r flo

w ra

te (c

ts/s s

ccm

)Zr+

ZrO+

ZrO2H+

ZrO3H3+

ZrO4H5+

ZrO2+

Fig. 31. Relative abundance of ZrOx asa function of O2 addition toZTB.

155 160 165

2+ZrCl

y

m/z195 200 205

+3ZrCl

230 235 240

+4ZrCl

Fig. 32. Etching products from Cl2etching of ZrO2.

5 10 15 20 25 30 35 40 45 500.01

0.1

1

10

100

Norm

alize

d Co

unt R

ate (%

)

Ionizer Electron Energy (eV)

ZTB Plasma at O2/Ar=0

ZTB Plasma at O2/Ar=415 eV

m/z=16

19 eV

5 10 15 20 25 30 35 40 45 500.01

0.1

1

10

100

Norm

alize

d Co

unt R

ate (%

)

Ionizer Electron Energy (eV)

ZTB Plasma at O2/Ar=0

ZTB Plasma at O2/Ar=415 eV

m/z=16

19 eV

Fig. 33. Appearance potential massspectrometry allows the differentiation ofdissociative ionization products.

ionic species in the plasma with no O2 addition (O2/Ar=0). Even ZrO2H+ existed in a small amount, though Zr-containing ions with higher oxidation states were notdetected. This result suggests, first, both Zr-O (yieldingZr+) and C-O dissociations (yielding ZrO+ and ZrO2H+) inthe ZTB precursor molecule take place in the plasma gasphase and their probabilities are comparable. Secondly,Zr+, ZrO+, and ZrO2H+ were produced through multiplesequential electron collisions, since ZrO3H3

+ and ZrO2H+

were the dominant species observed in the neutral massspectrum in the absence of a plasma, where mostfragments were derived through a unimoleculardecomposition of the ZTB precursor. The followingsequential dissociation reactions are thus suggested tooccur in the plasma:

_ _ _e e e3 3 2ZrO H ZrO H ZrO Zr

O, 2H O, H O

→ → → →!" " " (9)

Similarly, mass spectrometry can be used indetecting plasma etching products, especially polyatomicspecies, which are not sensitive to the OES analysis.Figure 32 shows the etching products in Cl2 etching ofZrO2 thin film, where ZrClx are the dominant etchingproducts.

Finally, appearance potential mass spectrometryallows the detection of a wide range of radicals andidentify their origin from different excitation processes.In this method, the ionization filament energy is graduallyincreased while the species of interest at a particular mass-to-charge ratio is monitored. The appearance of thechemical species at a particular electron energy of theionizer would allow one to discriminate the radicals fromdifferent origins. For example, Fig. 33 shows theappearance potential mass scan at m/z=16, whichcorresponds to O, in a ZTB/O2 plasma. The ionizationpotential of O is 13.6 eV, but there is no mass signaldetected at 13.6 eV, suggesting that the detected oxygenatoms are all from the dissociation processes. There are atleast two origins of O. Knowing that the O-O bondstrength is about 5.1 eV, the mass signal detected at 19 eVis likely due to O from dissociation of O2, and the masssignal detected at 15 eV is likely to correspond to O fromdissociation of C-O or Zr-O in the plasma. This is similarto using the line shapes in the optical emission spectra todifferentiate the excitation processes from which thespecies of interest originate.

Page 170: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Surface Kinetics 167

Adsorption from Precursor Nucleation and Island Growth

Step Growth

Transport to SurfaceSurface Diffusion

Desorption

Desorption of Volatile Products

Main Gas Flow Region

e_

Radicals

Ions

Adsorption from Precursor Nucleation and Island Growth

Step Growth

Transport to SurfaceSurface Diffusion

Desorption

Desorption of Volatile Products

Main Gas Flow Region

e_

Radicals

Ions

Fig. 1. Schematic diagram of plasmasurface interaction.

CF4+e_→ CF2 + 2F +e

_

F → SiFx → SiF4

SiF4F

SiF4

↓ ↑↑

(1)

(2)

(3) (4) (5)

(6)

surface

CF4+e_→ CF2 + 2F +e

_

F → SiFx → SiF4

SiF4F

SiF4

↓ ↑↑

(1)

(2)

(3) (4) (5)

(6)

surface

Fig. 2. A descriptive schematic ofplasma gas-phase and surface reac-

tions.

Fig. 3. Potential energy diagram forphysisorption and chemisorption.

PRINCIPLES OF PLASMA PROCESSINGCourse Notes: Prof. J. P. Chang

PART B6: PLASMA SURFACE KINETICS

I. PLASMA CHEMISTRYTo characterize plasma-surface interactions, we first

reviewed elementary reactions, gas phase kinetics, andsurface kinetics. Plasma processing shares a relativelycommon set of steps, by which the surface reaction pro-ceeds. Ion bombardment can alter the kinetics of one ormore of the steps, creating an enhancement of the etchingor deposition rate; this effect is thought to be the primarycause of anisotropy in the surface topographical change.A reasonable set of steps for plasma etching that can beused to understand the etching mechanisms are as follows(Figs. 1 and 2):

1. Creation of the reactive species within the plasmaphase by electron-neutral collisions and subsequentchemical reactions:

e− + CF4 → CF3 + F + e− 2. Transport of the reactive species from the plasma to

the substrate.3. Adsorption of the reactive species on the surface (ei-

ther physisorption or chemisorption, Fig. 3).4. Dissociation of the reactant, formation of chemical

bonds to the surface, and/or diffusion into the sub-strate with subsequent formation of the desorbing spe-cies:

F* + SiFx → SiFx+1

5. Desorption of the product species: SiF4(s) → SiF4(g)

6. Transport of the product species into the plasma.7. Simultaneous re-deposition of etching products.

II. SURFACE REACTIONS

1. Spontaneous surface etchingA number of gas-surface systems of interest to mi-

croelectronic fabrication react spontaneously, e.g., F withSi, and Cl2 with Al. Spontaneous etching is a process inwhich neutral species interact with a solid surface to formvolatile products in the absence of energetic radiation(e.g., ion bombardment or UV radiation). These spontane-ous chemical reactions generally are activated and followan Arrhenius relationship, and the rate of reaction is givenby

Page 171: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B6168

Si

Cl Cl2

Si

Cl Cl2

Fig. 4. Spontaneous surface etchingreactions.

Time

Cl

Etching Rate

(A/min)o

Time

Cl

Etching Rate

(A/min)o

Etching Rate

(A/min)o

Fig. 5. Slow etching rate of silicon dueto Cl spontaneous etching.

aE

KTs oER k e Q

− = (1)

where Q is the flux of reactive species, T is the substratetemperature, ko is the preexponential factor and Ea is theactivation energy. The preexponential factors and activa-tion energies for both Cl and F atoms etching of siliconare shown in Table 1 for comparison.

Table 1. Arrhenius rate parameters for Cl and F atomsetching of silicon.

Neutral Substrate Q (#/cm2/s) ko (Åcm2s/#min) Ea eV

Cl Poly-Si 6×1019 2.57×10-14 0.29 F Si<100> 2.3×1019-1.1×1022 3.59×10-15 0.108

The activation energy of atomic chlorine etchingpolysilicon is approximately three times larger than that ofatomic fluorine. Therefore, the etching yield by atomicchlorine is two orders of magnitude lower than that ofatomic fluorine even with a larger preexponential factor.This is consistent with the high energy barriers for pene-tration of chlorine (13 eV) into the silicon backbones thanthat of fluorine (1 eV). In the case of silicon etching inchlorine, Equation (1) predicts an etching yield that is 2-3orders of magnitude less than the overall ion-enhancedetching yield and thus can be ignored (Figs. 4 and 5).However, for Al etching in chlorine, the spontaneousetching is significant.

For a process that is limited by the surface reactionkinetics, the rate is typically a strong function of thesurface temperature, however, an etching process that islimited by electron impact reaction in the plasma phase orion bombardment-induced surface kinetics is relativelyinsensitive to temperature. An etching process that islimited by a surface chemical reaction produces isotropicetching, since the reactant gas has no strong preferentialdirectionality.

Any free radicals formed will most likely stronglyadsorb to the surface, and thus participate in the etchingreaction. The creation of the free radical in the gas phaseeliminates the chemical barrier for chemisorption thatwould normally exist at room temperature. The chemicalreactions that take place on the surface typically follow aLangmuir-Hinschelwood mechanism, i.e., a reaction be-tween chemisorbed species.

It is worth noting here that the doping level of the

Page 172: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Surface Kinetics 169

Fig. 6. Conformation deposition of SiO2in Si(OC2H5)4/O2.

Fig. 7. Non-conformal deposition ofSiO2 in SiH4/O2.

silicon substrate can greatly change the spontaneousetching rate of polysilicon by F and Cl atoms. Houlestudied the etching of Si in the presence of F and showedthat the doping effect in which heavily n-type polysiliconwas etched more rapidly than p-type or undoped, was aresult of the band bending at the surface. The adsorbedatomic F began negatively ionized by an electron tunnel-ing from the bulk Si. If the field caused by the bandbending aided the transport of F− into the surface, the re-action rate is accelerated. As the band bending is a func-tion of the Fermi level, the doping changes the etchingrate.

In Cl etching of Si, the same doping effect occurs,however, it is more pronounced. Atomic chlorine doesnot appreciably etch p-type and undoped polysilicon atroom temperature, however, it etches n+-type polysiliconspontaneously with one to two order magnitude increasein etching rate. Ogryzlo termed this effect field en-hanced etching, in that the large electron density in thevalence band causes the Fermi level to bend upwards.This band bending facilitates charge transfer from sili-con lattice to the electronegative and chemisorbed Cl at-oms, makes the Si-Cl bonding more ionic, allows for moreflexibility in the bonding geometry, and creates morechemisorption sites. The incorporation of chlorine atomsis thus enhanced, as well as the etching rate. The sloweretching of Si by Cl and Br than F is probably due to thelarger size of Cl and Br and the greater sterric hindranceeffect.

2. Spontaneous depositionDeposition of thin films due to reactive radicals

with low volatility is common in both etching and deposi-tion processes. In these reactions, the sticking coefficientsof the free radicals are of critical importance of depositionkinetics. For example, SiO2 deposition by PECVD iswidely used as the interlayer isolation between metal linesin MOSFET device, and SiO2 deposition can be done withdifferent precursor chemistries. Figure 6 shows the con-formal deposition of SiO2 over high aspect ratio featuresin a TEOS/O2 plasma, due to the small sticking coeffi-cients of the reactants. Figure 7 shows a non-conformaldeposition profile of SiO2 deposited in a silane/O2 plasma,due to the large sticking coefficient of the reactants.

In etching processes, deposition of reactants or re-deposition of etching products can be useful or detrimen-tal, depending upon the process of interest. In the case ofetching of SiO2, a polymer layer formation is thought nec-essary for the etching, though too thick a polymer layer

Page 173: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B6170

1 2 3 4 5

-100

0

-200

Fluorine to carbon ratio (F/C) of gas phase etching species

Bias

app

lied

to s

urfa

ce (v

olts)

C2F4 CF4C2F6C4F10

Etching

Polymerization

O2 additionH2 additionLoading

1 2 3 4 5

-100

0

-200

Fluorine to carbon ratio (F/C) of gas phase etching species

Bias

app

lied

to s

urfa

ce (v

olts)

C2F4 CF4C2F6C4F10

Etching

Polymerization

O2 additionH2 additionLoading

Fig. 8. Plot of boundary between poly-mer growth and etching (Coburn).

would result in an etch-stop.Coburn introduced the concept of the car-

bon/fluorine ratio to help quantify the conditions underwhich polymer formation occurs. Shown in Fig. 8 is adiagram he developed that characterizes the observationsof the effect of the C/F ratio, ion bombardment energy,loading, and additions of H2 or O2. As can be seen in Fig.8, if the feed gas has a high C/F ratio, a polymer can beformed on the surfaces in contact with the plasma.

Polymerization occurs by the sequential addition offree radicals onto a polymer chain. Radicals such as CFand CF2 can add to a chain without reducing the probabil-ity that additional radicals can be added. If CF3 or F isadded to a chain, however, the positions available for ad-ditional chain growth are reduced. Therefore, the relativeconcentrations of these species dictate the growth rate andchain length of polymeric chains. Increasing the F/C ratiofavors the formation of F and CF3, and therefore reducesthe propensity for a polymer to be formed in a plasma.Addition of H2 reduces the concentration of F by reactionto form HF, thereby increasing the effective C/F ratio. O2additions react with the carbon, which results in a de-creased effective C/F ratio. Increasing the power level toa plasma favors the production of CF and CF2 over CF3,but increases the concentration of F sufficiently that thenet result is the reduction of polymerization. Increasingthe power also increases the probability that a polymerchain is broken by electron impact in the plasma or sput-tered from a surface by an ion. Polymer build-up on asurface is decreased by ion bombardment because ofsputtering and chain breakage. Therefore, a higher pro-pensity for formation exists on the sidewalls of an etchingfeature where the ion flux is reduced and polymer can bedeposited preferentially.

The addition of oxygen to prevent polymer deposi-tion can also come from the material being etched, i.e.,SiO2. The selectivity of etching with respect to oxide isachieved by balancing the plasma chemistry such thatpolymer formation does not occur on an oxide surface asit is etched since the oxide supplies enough oxygen whichreacts with the carbon to prevent its build up. For thesame chemistry, when Si or Al is encountered, a poly-meric film builds up on the surface and the etching stops.This means of selectivity allows for very high selectivityfor oxide etching with respect to underlying semiconduc-tor or metal films.

3. Ion sputtering kineticsThe characterization of the physical sputtering yield

Page 174: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Surface Kinetics 171

Incident Ion (Mion, Zion)

Target (Mt, Zt)

Sputtered particle(charged or neutral)

hν e-

CollisionCascade

(displacement of the lattice)

(possible implantation of the ions)

Incident ion (Mi, Zi)

Fig. 9. Surface kinetics during sputter-ing.

EtchingYield

ionE

+ArSi

Ar+ Ar+ (Steinbruchel)Ar+ (Balooch)Ar+ (Tachi)

0

0.2

0.4

0.6

0.8

1

0 5 10 15 20 25 30

Fig. 10. Physical sputtering yield ofpolysilicon by Ar+.

at the low energy regime was attempted by employing thecollision cascade model first proposed by Sigmund tocharacterize the sputtering yield of amorphous and poly-crystalline targets. The sputtering yield can be approxi-mated by assuming that ions slow down randomly in aninfinite medium, considering elastic scattering (nuclearstopping), inelastic scattering (electron scattering), andsurface binding energy. A schematic diagram of a colli-sion cascade is shown in Fig. 9.

In the low ion energy regime (< 1 kV), the binaryparticle interactions can be characterized by a Born-Mayer-type cross section, and the sputtering yield is linearto the square root of ion incident energy and can be de-scribed as following:

⋅=

it

ionnition E

ESCEY )( (2)

Cit and Eit are constants dependent on the particular ion target combination (Zi and Zt are atomic numbers, and Miand Mt are masses of the ion and target atoms, respec-

tively), and

it

ionn E

ES represents the nuclear stopping

power. However, this model overestimates the etchingyield by at least a factor of 5 at energies lower than 75 eV,where the ion incident energy is on the same order ofmagnitude of the surface binding energy. Therefore, thethreshold energy has to be taken into account to properlymodel the sputtering yield at low ion energies.

The universal energy dependence of ion bombard-ment induced etching processes proposed by Steinbrüchelis therefore used to model most of the experimental meas-urements. An empirical form was proposed as follows:

⋅=

ion

th

it

ionnition E

Ef

EE

SCEY )( (3)

where the modified nuclear stopping function was furthermodified by Wilson and f(Eth/Eion) expanded by Matsu-nami, thus the etching yield can be expressed as:

2/1ion

it

ionn E

EES ∝

, and 2/1

1

−=

ion

th

it

ion

EE

EEf (4)

)()( 2/12/1thionion EEAEY −⋅= (5)

where Eth is the threshold energy and A is a constant de-pending on the particular ion-target combination. Goodagreement is observed by employing this universal energy

Page 175: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B6172

EtchingYield

Cl+

ionE

Cl+ (Barone)

Ar+

+IonSi

0

0.2

0.4

0.6

0 5 10 15

Fig. 11. Sputtering yield of polysiliconby Cl+ in the low energy regime, incomparison to molecular dynamicsimulation results and low energysputtering yield by Ar+.

Cl+

Si

Cl+

SiSi

Fig. 12. Chemical sputtering duringetching.

Fig. 13. Molecular dynamic simulationof Cl+ interacting with Si [Barone and

Graves].

dependence of ion bombardment induced etching proc-esses to Ar+ etching of polysilicon, as shown in Fig. 10.

In the case of reactive ion sputtering (chemicalsputtering), reactive ions yield higher etching rate thanthat of inert ions due to the formation of volatile specieswith the reactive etchant. The sputtering yield of polysili-con by Cl+ is a linear function of the square root of the ionenergy, as shown in Fig. 11, and is much higher than thatby Ar+ ions. The extrapolated threshold energy is ap-proximately 10 eV, which is lower than that measured byAr+ sputtering of polysilicon (~35 eV). The reduction inthreshold energy is caused by the formation of a heavilychlorinated layer that reduces the surface bonding energyand allows for subsequent incorporation of chlorine intothe silicon lattice (Fig. 12). Good agreement is observedby comparing the experimentally measured sputteringyield to molecular dynamic simulation results reported byBarone and Graves (Fig. 13). They confirmed the squareroot ion energy dependence of reactive chlorine ion etch-ing of silicon over the energy range of 10 to 50 eV, andobserved that the etching product stoichiometry dependsstrongly on the ion energy. Therefore, in comparison withthe spontaneous etching, higher etching rate is achieved,as shown in Fig. 14.

In a sputtering process, most of the flux is ejectedby momentum transfer, in which the ion bombarding thesurface collides with individual surface atoms transferringmomentum with each collision. The energetic collisionpartners are displaced and also undergo a series of binarycollisions over a time period of about 10-12 seconds.

Etching results when an atom at the surface receivesenough energy that it can break loose from the surfacepotential and leave the surface. For a normal incident ion,at least two collisions are needed for the momentum's di-rection to be reversed and a surface atom to be sputtered.For ion bombardment at an angle of 40º or greater offnormal, only one collision is needed for a surface atom tobe dislodged, i.e., sputtered.

As the ion incident angle exceeds 70º, the sputteringyield drops off significantly because the ion tends to re-flect from the surface dissipating less energy in the colli-sion cascade. Note that the sputtering yield is defined asthe number of surface atoms removed per incident ion(Fig. 15). As momentum scales with the square root ofenergy, the yield also scales with the square root of theion bombardment energy. It also increases with the massof the ion. For Ar+, the sputtering yield of Si is 0.5 at 1keV and peaks at 1.0 at 3 keV. As the ion energy is fur-

Page 176: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Surface Kinetics 173

Time

Cl+

Cl

Etching Rate

(A/min)o

Time

Cl+

Cl

Etching Rate

(A/min)o

Etching Rate

(A/min)o

Fig. 14. Higher etching rate achievedby reactive ions compared to reactiveneutrals.

malize

Ion Incident Angle (degree from

0

1

2

3

4

5

0 30 60 90

Nor

mal

ized

yie

ld

Ion incident angle (degree from normal)

malize

Ion Incident Angle (degree from

0

1

2

3

4

5

0 30 60 90

Nor

mal

ized

yie

ld

Ion incident angle (degree from normal)

Fig. 15. Dependence of etching yield onion incident angles.

Poly

SiO2

Ion bombardment

Roughened surface

Poly

SiO2

Ion bombardment

Roughened surface

Fig. 16. Formation of grass due tomicromasking.

ther increased, the cross section for scattering at the sur-face decreases and the sputtering drops. An ion with agreater mass dissipates a greater fraction of its momentumnear the surface, increasing the probability that sputteringoccurs. There is generally a threshold energy for sputter-ing which is a few bond strengths associated with theminimum energy it takes an ion to displace a surface atomand thus can be well correlated with other measurementsof surface removal energy such as sublimation energy. Atypical threshold energy is about 25 eV.

Because of the angular dependence with the largestetch yield at approximately 60º, surface features withabout that angle etch more rapidly, resulting in surfacefacets with a specific angle. An ion-bombarded surfacewill roughen forming surface peaks or needles that pointtoward the ion bombardment angle. Their conformation isdue to this preferential sputtering angle and redepositionof the sputtered products. If any impurity is present thathas a lower etching rate, the impurity will congregate atthe point of the needles. In etching process with a largephysical sputtering component, the surfaces roughen asthey etch (formation of grass as shown in Fig. 16), in par-ticular if carbon, oxide, or some other involatile materialis present.

Three other mechanisms occur in sputtering: ther-mal spikes, surface damage, and electronic excitation. Ina thermal spike, the energy of the ion is dissipated as heat,resulting in a short-lived (10-8 sec), high-temperature tran-sient located within a short distance of the ion impact.This high temperature induces surface molecules and at-oms to desorb rapidly with a kinetic energy that are inequilibrium with the thermal spike temperature. Thismechanism is probably not significant for the sputteringof low vapor pressure materials like metals, but could besignificant in the sputtering of metal compounds that havehigher vapor pressures.

Electronic excitation occurs due to the tunneling ofan electron to neutralize the ion just before it strikes thesurface. The excited surface state (that has the energy ofionization of the incident ion) can relax by the emission ofatoms in some materials. Ions therefore have a slightlygreater sputtering yield than neutrals, but this differencecan only be observed near the threshold-sputtering yield.

The third sputtering mechanism is the creation ofsurface damage that degrades into molecular species afterthe collisional event. These molecular species can thendesorb in time and leave with an energy that is character-istic of the bulk temperature of the sample. This can be

Page 177: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B6174

Fig. 17. Etching rate as a function ofvarious beams used in etching (Co-

burn).

Fig. 18. Ion enhanced etching of Si inAr+, F, and CF2 beams (Gray).

thought of as an ion-induced degradation of the surface.When a surface is exposed to a flux of reactive neutralsand ions, it has been shown that an adsorbed layer of re-actants can form, resulting in a halide-like surface. Thus,ion-induced plasma etching may contain similar mecha-nistic steps.

Sputtering of a compound results in a shift in stoi-chiometry of the surface, i.e., the surface becomes richerin the less volatile material. For example, ion bombard-ment of SiO2 produces a surface that is rich in Si as the Ois more volatile. This enriched layer is thin being of orderof a few monolayers in thickness and increases in thick-ness with the ion bombardment energy.

Knock-on or atomic mixing also takes place duringion bombardment. Ions striking surface atoms can drivethose atoms into the lattice several atomic monolayers indepth. This process is termed knock-on and results in themixing of layers as sputtering takes place.

4. Ion-enhanced chemical etchingWhen a surface is exposed to both chemically reac-

tive neutral which can react with a surface to produce avolatile product and ion bombardment the combined ionand neutral fluxes often etches more rapidly than surfacesexposed to only the neutral bombardment. Shown in Fig.17 is a seminal beam experiment performed by Coburn inwhich the etching rate was monitored while XeF2 and Ar+

beams were turned on and off. It can be seen that thecombination of both ions and a fluorine source results inan etching rate that is quite synergistic and exhibits anetching yield that is an order of magnitude greater thanphysical sputtering.

Ion enhanced etching of surfaces by Ar+, F, and CF2has been measured as a function of reactant fluxes asshown in Figs. 18 and 19. It was shown to be a functionof the flux ratios, not the absolute values of the flux.

The presence of carbonaceous precursor has beenshown to inhibit etching in Si as expected and to enhancethe etching of SiO2. However, at high F fluxes the CF2 isremoved by recombination with F to form CF4 rather thanfacilitating the etching of oxide (Fig. 19).

Other studies have demonstrated that greater massion that dissipate their energy nearer the surface have agreater ion induced etching yield and that the use ofatomic species increases the ion enhanced chemistry.

The energy dependence of etching has been shownto vary with the square root of the ion bombardment en-ergy indicating that the initiation of the etching process is

Page 178: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Surface Kinetics 175

Fig. 19. Ion enhanced etching of SiO2in Ar+, F, and CF2 beams (Gray).

Fig.20. Etching of silicon with Ar+ andCl2 (Coburn).

Si

Cl+

Cl

Cl2

Si

Cl+

Cl

Cl2

Fig. 21. Ion-enhanced etching process.

a function of the ion momentum as in physical sputtering.Many researchers, based on this observation suggestedthat the increased etching yield is due to a reduced bind-ing energy of the surface species, i.e. the chemical reac-tion with the neutrals leaves the surface atoms moreloosely bound. However, the surface residence time forthe emission of the products has been measured to beabout 10−4 seconds, 8 orders of magnitude too large for aphysically dominated sputtering process. While physicallyenhanced etching does occur, the dominant mechanismappears to be the subsequent chemical reactions that occurafter the collision cascade.

These beam studies are even more significant en-hancement in the etching of Si with Cl2 for which sponta-neous etching does not occur at room temperature. Acoverage of approximately a monolayer of Cl is chemi-sorbed on the surface in the absence of ion bombardment.The quartz crystal microbalance data shown in Fig. 20shows an initial weight gain and then subsequent etching.The weight gain indicates that Cl is incorporated into thesurface by ion bombardment increasing the Cl content.The ion induced etching rate increases with the Cl con-tent. From this data, the incorporation of several mono-layer equivalents into the surface at steady state is indi-cated.

To simulate more realistically the chlorine plasma,the ion-enhanced silicon etching yield was characterizedas a function of Cl atom to Cl+ ion flux ratio at three ionenergy levels. This process and its effect are schematicallyillustrated in Figs. 21 and 22, while the experimental re-sults are shown in Figs. 23 and 24. In Fig. 23, an initialsharp rise in the etching yield was observed at low fluxratios where reaction was limited by the supply of reactiveneutrals. The etching yield then gradually saturated as thereaction became ion-flux limited at high flux ratios. Theetching yield was a function of the square root of ion en-ergy. The dotted lines are fits from a surface kineticsmodel detailed in Eq. (6), as shown later.

In Fig. 24, the effect of ion incident angle shows nosignificant change as the ion incident angle increasedfrom normal to 40° off-normal, but decreased by 30 %and 50 % at 60° and 70° off-normal, respectively. Thisangular dependence of ion-enhanced polysilicon etchingcan be incorporated into a profile simulator to simulate theetching of the sidewalls. It can be seen that unlike thephysical sputtering curve shown also, the chemical en-hance etching yield does not vary with ion bombardmentangle until greater than 40°. This insensitivity to angle

Page 179: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B6176

Time

Cl+

Cl+ and Cl

Cl

Etching Rate

(A/min)o

Time

Cl+

Cl+ and Cl

Cl

Etching Rate

(A/min)o

Etching Rate

(A/min)o

Fig. 22. Ion-enhanced etching in-creased the etching rate by order(s) ofmagnitude.

+ClSi

EtchingYield

75eV Cl+ and Cl

Flux Ratio

+ClCl

55eV Cl+ and Cl

35eV Cl+ and Cl

0

1

2

3

4

0 100 200 300 400

Fig. 23. Ion enhanced polysilicon etch-ing by Cl atoms with Cl+ ions as a func-tion of ion energy and neutral to ion flux

ratio.

Ion incident angle φ (degree from normal)

+ClSi

EtchingYield

Cl/Cl+=200

0

0.5

1

1.5

0 30 60 90

Fig. 24. Angular dependence of theetching yield for ion enhanced etching ofSi with combined Cl and Cl+ fluxes.

allows the etching of features with smooth bottoms. Themore rapid drop off with greater angles is thought to bedue to ion removal of the adsorbed Cl reducing the chlori-nation of the surface, and thus, etching rates.

The reduction of etching rates caused by deposi-tion/redeposition of the etching products and by-productswas explored by adding a SiCl2 beam -- SiCl2 is producedby etching or by electron impact dissociation of SiCl4 inthe plasma. It is known that the concentration of etchingproducts can build up to appreciable levels (~10% of theCl flux which are inversely proportional to the flow rate).This approach permits a thorough understanding of thefundamental reaction mechanisms and allows formulationof a kinetic model useable in a profile simulator to modelthe profile evolution during plasma etching processes.

The sticking coefficient of SiCl2 to form a stableSiClx film was calculated to be approximately 0.3 basedon the measurement of the incident dichlorosilane beamflux and the SiCl2 deposition rate observed by laser inter-ferometry using the index of refraction of polysilicon. Asthe flux of SiCl2 has been estimated to be on the order of10% of the Cl flux in high density and low-pressurepolysilicon etching processes, use of this sticking coeffi-cient would suggest deposition rather than etching ofpolysilicon. Figure 25 shows the effect of SiCl2 on chlo-rine ion-enhanced etching yield in the presence of Cl+ andin the presence Cl+ and Cl. The y-axis represents theetching rate measured with increasing SiCl2 fluxes.Etching of the polysilicon by Cl+ or Cl+ and Cl was sup-pressed significantly by SiCl2; however, the apparentdeposition probability of SiCl2 with Cl+ was on the orderof 0.01, and with Cl+ + Cl was 0.05. This indicates thatthe apparent sticking probability of SiCl2 was greatly re-duced by the chlorination and/or other modification of thesurface caused by the Cl+ and Cl fluxes.

A phenomenological model that accounts for theenergy, flux and angular dependencies of Cl+ ion-enhanced polysilicon etching with Cl was constructed foruse in profile simulators. Since many reaction mecha-nisms occur simultaneously and are convoluted, a simpli-fied model was used to represent the overall kinetics andis presented in Table 2.

The overall sticking coefficient of Cl is s, β is theion-enhanced reaction probability, Yo is the sputteringyield of Cl+, the ion flux. The energy, flux and angulardependencies of Cl+ ion-enhanced polysilicon etchingwith chlorine can be represented by the following equa-tion:

Page 180: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Surface Kinetics 177

0

0.4

0.8

1.2

0 10 20 30

Flux Ratio

+Cl

SiCl 2

+ClSi

EtchingYield

Cl+ alone with SiCl2

Cl/Cl+ = 200 with SiCl2

Fig. 25. The effect of SiCl2 on chlorineion-enhanced etching yield in the pres-ence of Cl+ and in the presence Cl+ andCl.

Table 2. Simplified phenom-enological surface kinetics model forCl+ ion-enhanced polysilicon etchingof polysilicon with Cl.

∗+ →+

→∗+

→∗+

+

+

44 )(4)(,

)()(

)()(

)(

)()(

gClc

ss

sc

g

ss

g

SiClClSi

ClCl

ClCl

φβ

φ

# of wafers

4

Ro/ Rm

3

2

1

00 2 4 6 8 10

CF4/O2

CF3Br/He

CF3Cl

# of wafers

4

Ro/ Rm

3

2

1

00 2 4 6 8 10

# of wafers

4

Ro/ Rm

3

2

1

00 2 4 6 8 10

CF4/O2

CF3Br/He

CF3Cl

Fig. 26. Loading effect for polysiliconetching using CF4/O2, CF3Br/He, and

CF3Cl plasmas (Flamm).

[ ][ ]ClCl

itotal

YCYYCY

θβθφφ

⋅+−⋅⋅=+⋅=

)1()()(

0

0 (6)

where C(φ) is a constant representing the angular depend-ence, φ is the ion incident angle, and θ, the surface cover-age of chlorine, is a function of the neutral to ion flux ra-tio. All three parameters, s, β, and Yo, of the model scalelinearly with the square root of ion energy and can be in-corporated into a profile simulator to predict feature evo-lution in high-density plasma etching processes. A de-tailed description of the model can be found in Chang et.al. JVST A 16(1), 217 (1998).

III. LOADINGIt is generally observed that in a plasma etching

process the etching rate drops as the etching area exposedto the plasma increases. This decrease in etching rate istrue for processes that consume a major portion of the re-active species created in the plasma. Processes that arelimited by the surface reaction kinetics do not exhibit thisbehavior. In processes where a loading effect is observed,the etching rate is typically proportional to the concentra-tion of the reactant. For the case of etching m wafers witha plasma that produces single etching species, the loadingeffect is given as

s

ww

m

o

AkkAm

RR

+=+= 11 φ (7)

where Ro is the etch rate in an empty reactor, Rm is theetch rate with m wafers present. Note that A and Aw areareas of the reactor surface and a wafer respectively,while kw and ks are the rate coefficients for reactant re-combination at the reactors surfaces and of the reaction atthe wafer respectively. Therefore φ is the slope of theloading curve as shown schematically in Fig. 26.

Flamm et. al. have shown that a two etchant modelis necessary when dealing with etchants such as ClF3, inwhich there are appreciable concentrations of both F andCl. As can be seen from Fig. 27, the loading effect can beminimized by increasing the amount of recombinantsurface or by using a better recombinant surface, but witha sacrifice in etching rate.

Increasing the gas flow rate can reduce the loadingeffect if the gas utilization is high, i.e., if the amount ofmaterial that can be etched, given the stoichiometry of thereaction and the flow rate, is approaching that given bythe product of the etching rate and the exposed area.

Page 181: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B6178

Fig. 27. SiO2 RIE etching rate versusCF4 flow rate.

Fig. 28. Micro-loading effect.

0

1

2

3

4

0 50 100 150 2000.0

0.1

0.2

0.3

0.4

+Ar

SiO2

EtchingYield

+ArSi

EtchingYield

+ArCl

Flux Ratio

Poly

SiO2

Fig. 29. Etching yield of polysilicon andsilicon dioxide by Ar+ and Cl as afunction of Cl to Ar+ flux ratio.

Shown in Fig. 27 is a set of curves that demonstrate theeffect of flow rate on etching. It should be noted that ifthe utilization is high, it may show up as a lack of depend-ence on power. At very low flows, a typical process willrespond linearly with flow rate at a given power, withhigher flows, the etching rate of increase will becomeslower and go through a maximum. At very high flows,etching rate decreases, as un-reacted species are carriedaway.

Similarly, micro-loading is also observed in the mi-croelectronics fabrication, which refers to the differentetching rate across a wafer when there are densely popu-lated lines and isolated lines coexisting on the wafer, ex-posing to the plasma. Similar to the well known effectthat the average etch rate depends on how many siliconwafers that have to be etched, the area of exposed siliconat a local scale causes the variation in the etching rate, asshown in Fig. 28. The differences in local pattern densitywill make one area of a wafer etch at a different rate thanothers, and it is necessary to take these effects into con-sideration when designing the device layouts.

IV. SELECTIVITYPlasma is seldom used to etch blanket films in mi-

croelectronics fabrication. The etching of photoresist andthe underlying substrate is also important since the etch-ing selectivity is never infinite and aspect ratio dependentetching often causes some etching of the underlying sub-strate or thin film.

Using the etching of polysilicon gate as an example,the etching will result in some etching of photoresist andneeds to stop on the thin gate oxide (SiO2). The etchingselectivity between Si and SiO2 measured by 100 eV Ar+

and Cl is approximately 30, as shown in Fig. 29. Higherselectivities for polysilicon with respect to silicon dioxideor photoresist are desired for patterning finer features.Addition of 1% oxygen to a chlorine plasma is found toreduce the etching rate of silicon dioxide significantly,and increase the selectivity of polysilicon over silicon di-oxide to 70.

The angular dependence of etching polysilicon dif-fers from that of SiO2 with 100 eV Ar+ and Cl, as shownin Fig. 30. The etching yield measurements are taken at aconstant flux ratio where the etching yield of either Si orSiO2 is considered saturated. The etching yield ofpolysilicon at a flux ratio of 600 exhibits no significantdependence on the angle of incidence from normal to 40°off-normal, but decreases by 35% at the angle of 60° off-

Page 182: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Surface Kinetics 179

0

1

2

3

4

0 30 60 900

0.1

0.2

+Ar

SiO2

EtchingYield

tchingYield

Poly

SiO2

Ion Incident Angle φ (degree from normal)

+ArSi

Fig. 30. Etching yield of polysilicon andsilicon dioxide by 100 eV Ar+ and Cl as

a function of ion incident angles.

e− CF3+, CF3

− CF2+ CF+ F+, F−

e− e− e− e−

e−+ CF 4

F, F2

e−CF3

F, F2

e−CF2

F

e−CF

F

e−C + F

CF3 e− CF2 e − CF e −

C2F6 C2F4 C2F2F2

e− CF3+, CF3

− CF2+ CF+ F+, F−

e− e− e− e−

e−+ CF 4

F, F2

e−CF3

F, F2

e−CF2

F

e−CF

F

e−C + F

CF3 e− CF2 e − CF e −

C2F6 C2F4 C2F2F2

Fig. 31. Abbreviated reaction schemefor CF4 discharge (Kushner).

normal. Maximum etching yield at near normal ion inci-dent angles is attributed to the rapid implantation of reac-tive atoms into the substrate with normally incident ions.The normally incident ions consequently create mixing ofthe absorbed surface atoms into the lattice, induce surfacechlorination, and achieve maximum etching yield at nearnormal ion incident angles. However, etching of silicondioxide is mainly ion-driven, as chlorine incorporationinto the SiO2 film is limited. Ions physically sputter oxy-gen and silicon, allow chlorine to react to silicon, andachieve subsequent slight enhancement in the etchingyield. It is necessary to incorporate proper angular de-pendence for each material into a profile simulator tomodel the surface topography evolution during the plasmaetching processes.

V. DETAILED REACTION MODELINGTo understand the complex reactions in the plasma,

Kushner constructed complete kinetic models for theetching of Si and SiO2 in CnFm/H2 and CnFm/O2 plasmas,by balancing the surface reactions. He was able to fit theexperimental observations for these systems; however, themodel included a large number of reactions with unknownrate coefficients that were estimated. Shown in Fig. 31 isan abbreviated reaction scheme for a CF4 discharge.

The kinetic modeling approach is a useful researchtechnique, but it suffers from a lack of appropriate ratecoefficients. To be successful, the necessary rate coeffi-cients must be determined and the surface kinetics mustbe developed. Probe measurements, such as optical emis-sion or mass spectrometry, have the capability to measurethe concentration of the gaseous species as a function ofoperating conditions, and therefore could be used to ex-perimentally determine effective rate coefficients formany of the important reactions. The rate coefficientswould be determined by fitting reaction models that ade-quately describe the data. Although such a model doesnot necessarily give a mechanistically accurate picture ofthe plasma chemistry, it can be used to make some pre-dictive calculations and to optimize the process effi-ciently. This approach has been used thus far to explainqualitatively restricted phenomena that have been ob-served.

For example, Flamm has used optical emission toidentify F as the primary etchant species for Si in a CF4plasma and to explain the rate variation with the introduc-tion of O2. He explained the increased etching with O2addition by its reaction with CFn radicals in the plasma to

Page 183: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B6180

Rela

tive

Rate

/ In

tens

ity

O2%

0

1

0 20 40 60

F emission

Etching rate

Rela

tive

Rate

/ In

tens

ity

O2%

0

1

0 20 40 60

F emission

Etching rate

Fig. 32. The etching rate of Si and703.7 nm emission from excited F as afunction of the oxygen concentration in

a CF4/O2 reactor.

produce F for etching. The optical emission used to sup-port this claim shows a correspondence of the etching ratewith the F emission (schematically shown in Fig 32). Thereduction of emission with high O2 concentrations wasexplained in terms of dilution of the plasma.

Flamm developed a simplistic, mechanistic frame-work to correlate the free radical chemistry of halocar-bon/oxidant plasmas. Mechanistically, it offers an easyway to remember the dominant atomic species which ex-ists in plasma with two or more halogens. This model isbased upon the concept that three types of species exist inthis etching system:

• Saturates: species such as CF3

• Un-saturates: species such as CF2

• Atoms/oxidants: the etchants species which react with the saturates, un-saturates, and surfaceIn the presence of unsaturates, deposition occurs. Themodel is formulated as

1. e− + halocarbon → saturates + unsaturates + atoms

(e.g., e− + CF3Br → CF3 + CF2 + Br + F)2. atoms/molecules + unsaturates → saturates (e.g., F + CF2 → CF3)3. atoms + surface → chemisorption or volatile products

(e.g., F + Si* → Fsi* → SiF4)4. unsaturates + surfaces + initiating radicals → films (e.g., CF2 + Si → SiCF2* + CF2 → SiCF2CF2 )

For example, in the case of a CF3Br plasma, both F and Bratoms are formed by electron impact dissociation. The Fatoms produced would be depleted from the plasma morerapidly than the Br through reaction with CF2 leaving thepredominant atomic species to be Br. The addition ofoxygen to a CF4 plasma reduces the number of unsaturatesand inhibits the formation of a polymer film. The oxygenwill also replace some of the fluorine that is bonded tocarbon-bearing species, and the concentration of F in-creases. If large enough amounts of oxygen are added,the unsaturates can be consumed to the point that O is pre-sent as well as F within the plasma. At these levels (>25%), the O can adsorb on a surface, forming an oxide.

This model correctly predicts the atomic speciesthat is most apparent in the optical emission spectra. Italso predicts the formation of polymer at lower concen-trations of oxidants. However, it has been shown that thereactions do not occur in the gas phase, rather, the surface

Page 184: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Plasma Surface Kinetics 181

Fig. 33. Computation of products offluorocarbon + oxygen discharge.

processes dominate. In addition, it has been shown thatCF2 is often present in high concentrations because of itslow reaction probability. CF2 is technically not a freeradical, the carbon rehybridizes to fill three orbitals andwhile one is completely vacant of electrons. To react, thecarbon must rehybridize, making its sticking probabilityfor formation of polymer much slower than CF or CF3.Many papers in the literature have hypothesized that CF2is the dominant species for oxide etching because it hassuch a large concentration. In fact, it has a high concen-tration because it reacts very slowly! The main polymerforming species are typically CF3 and CF.

In the past few years, reaction sets for CF4 and otherchemistries have been developed. Although they are notnecessarily complete, they typically are able to identifyand explain trends observed experimentally. Databasesby NIST and others have been compiled and kinetic codessuch as ChemKin III are available in the public domain.Examples of these results for C2F6 + O2 discharges areshown in Fig. 33. This result explains the observation thatCF4 is formed in fluorine-carbon containing discharges fortypical process conditions in which the neutral gas tem-perature is low. At higher neutral gas temperatures, theformation of CF4 can be avoided. This result is caused bya combination of thermodynamics which favors the for-mation of CF4 at lower temperatures and COF2 at hightemperatures, as well as the kinetics of gas and surfacephase reactions in which CFx, O, and F radicals recom-bine.

Page 185: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Feature Evolution and Modeling 183

w

d

w

w

d

Fig. 1. Patterned thin film.

wo

w

d

EPR

Es

Efh

Efv

w

wo

w

d

EPR

Es

Efh

Efv

w

Fig. 2. Pattern transfer and bias.

PRINCIPLES OF PLASMA PROCESSINGCourse Notes: Prof. J. P. Chang

PART B7: FEATURE EVOLUTION AND MODELING

I. FUNDAMENTALS OF FEATUREEVOLUTION IN PLASMA ETCHINGPlasma processes etch anisotropically and are

used to transfer patterns from photoresist to the un-derlying thin film materials or substrates. There aremany important parameters in plasma etching, aslisted below. The greatest challenge in patterningfeatures for microelectronics application is that eachparameter can usually only be optimized at the ex-pense of at least one of the others.

1. Critical dimension (CD) uniformity. Uniformityacross the wafer -- including densely populatedareas and large open spaces, and within high as-pect ratio features -- is critical to maintain con-sistent device performance. The uniformity isgenerally defined as the maximum difference inetching rates over a wafer and/or within anetcher or from batch to batch. Dividing by theaverage etching rate most often normalizes thevariation. Processes that are categorized asgood have uniformities in all of the abovecategories of less than ± 3%.

2. Anisotropy. Its usually desirable to have an ani-sotropic profile, where the etched feature edgesare close to vertical, to maximize packing den-sity on the chip. The etching anisotropy is de-fined as the ratio of the vertical dimensionchange to the horizontal change:

0.5( )o

dAw w

=′ −

as shown in Fig. 1 and 2. A perfect isotropicetching would have an anisotropy of 1, whileprocesses with no horizontal etching have an in-finite anisotropy.

3. Selectivity. Defined as the ratio of the etch rateof one material versus that of another. The se-lectivity of thin film (f) to photoresist (PR) andthe underlying substrate (s) or another film (Fig.2).

Page 186: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B7184

Fig. 3. Etching non-ideality: faceting.

Fig. 4. Etching non-ideality: trenching.

Fig. 5. Etching non-ideality: micro-trenchingthrough the underlying layer.

Fig. 6. Etching non-ideality: bowing.

Fig. 7. Etching non-ideality: notching.

_

_

ff PR

PR

ff s

s

Es

EE

sE

=

=

Note that the etching rate of the thin film has twocomponents: the horizontal and vertical etchingrates. The selectivity of the material beingetched to the overlying masking photoresist layeris usually of the most concern, since this impactsCD and profile control, and the thickness of re-sist required (thinner photoresist is desired toadequately resolve smaller feature sizes, so se-lectivity must increase as geometry shrinks).

4. High etch rate. It is needed to keep the through-put of the system or process module high (usu-ally measured in Angstroms/min). There is usu-ally a tradeoff between etch rate and other pa-rameters, such as selectivity and damage.

5. Etch profile control. Bias in etching processesis defined as the change in dimension of thefeature being etched caused by the lack of infi-nite anisotropy, over-etching, and/or resist etch-ing. Other etching anomalies include faceting,trenching, microtrenching, bowing, and notch-ing, as shown in Fig. 3-7.

6. Damage. Plasma damage is an obvious concern,especially during gate stack formation, whichwill be detailed later in the Epilogue.

7. Sidewall passivation. Passivation is importantboth during and after the etch. Carbon from thephotoresist mask typically combines withetching gases and etch byproducts to form apolymer-like material on the sidewall of thefeature (Fig. 8 and 9).

8. Residues. Residue which coats the interior of theetch chamber is a difficult problem to avoid. Inaddition to requiring more frequent cleaning,residue is also a source of contamination. Themost significant factors in controlling residue aretemperature, bottom rf power, backside coolingand process pressure.

9. Unwanted features. Stringers, fences, veils, and

Page 187: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Feature Evolution and Modeling 185

Thick polymer deposition

Thick polymer deposition

Fig. 8. Sidewall polymer deposition.

Thick polymer deposition

Before ashing After ashing

Thick polymer deposition

Before ashing After ashing

Fig. 9. Sidewall polymer depostion before andafter ashing.

Initial structure No overetch

Conformal layer

T

2TStringer

Initial structure No overetch

Conformal layer

T

2TStringer

Fig. 10. Stringer formation during etching ofhighly conformal films.

Fig. 11. Sheath potential as a function ofpressure.

crowns are unwanted decorations that aresometimes left after an etch. For example, inpatterning metal interconnect metal lines on non-planar surfaces, metal stringer may exist andshort adjacent lines (Fig. 10).

10. Corrosion. It is mainly a problem in metal etch.Upon exposure to water vapor (i.e., air), chlorinein the photoresist will immediately attack thealuminum.

11. Particle control. Right now particle concentra-tion fewer than 0.02 particles/cm2 that are > 0.18µm in size is required.

It has long been known that the topography ofetched surface features is a function of primarily thepower and pressure. For a sheath potential Es, and apressure p, the etching directionality is affected bymainly the ratio of Es to no, the neutral density.Other parameters such as ion temperature and ion-neutral collision cross-sections are also important. Itshould be noted that Es/no is equivalent to Ee/p interms of the physical system. The trends of anisot-ropy is a function of power and pressure, as shownschematically in Fig. 11.

II. PREDICTIVE MODELINGThe ever-shrinking device dimensions with

corresponding higher aspect ratios have made profilecontrol in plasma etching processes a much more dif-ficult task. These phenomena include variation of theetching rates in reactive ion etching (RIE lag), varia-tion of etching profile shapes (bowing, faceting,trenching), variation in selectivity to the underlyingfilm, and variation in film morphology. At the sametime, etch rates need to be maximized while mini-mizing the device damage to make the etching proc-esses economically viable. Therefore, the simulationand prediction of etching profile evolution becomesincreasingly important to ensure the success of adeep-submicron etching process.

Predictive profile simulation has been longsought as a means to reduce the time and cost associ-ated with trial-and-error process development and/orequipment design. Profile control is one of the mostimportant aspects in pattern transfer as it determinesthe success of subsequent deposition processes and

Page 188: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B7186

Fig. 12. Illustration of aspect ratio dependentetching.

Fig. 13. Etching rate variation with hole sizes.

ultimately the device performance. To date, simula-tion work has given invaluable insight into the sur-face profile evolution during ion-enhanced plasmaetching, using various techniques such as string algo-rithm, characteristics method, shock-tracing method,and direct simulation Monte Carlo (DSMC) method.Dominant reaction mechanisms incorporated in thesesimulators include ion induced etching and ion re-flection. More recently, localized surface chargingeffects and redeposition on the sidewalls have alsobeen taken into account.

Commonly observed high-density plasmaetching peculiarities such as bowing, tapering, under-cutting, trenching and micro-trenching have beenpredicted as different physical or chemical mecha-nisms are incorporated. However, comparing simu-lation results to etching profiles can not unambigu-ously determine the dominant surface phenomena asthe profile evolution is often a function of the differ-ence between several mechanisms, e.g. competitionbetween etching and deposition processes. The pro-files can often be fitted using more than one set ofsurface kinetics. Therefore, a comprehensive under-standing of the dominant plasma-surface reactionpathways is needed to develop a general, predictiveprofile simulator. The use of beam studies in whichthe fluxes are well characterized to measure unambi-guously the etching kinetics is valuable in determin-ing the functionality of reactive species at specific,well-defined etching conditions, which can be gener-alized to the much more complex plasma environ-ment.

III. MECHANISMS OF PROFILE EVOLUTIONThe etching of features is dominated by proc-

esses which are dependent upon the feature aspectratio, i.e. the feature depth to width, as shown in Fig.12. The etching rate of smaller features is slowercompared to that of larger features. This is calledAspect Ratio Dependent Etching (ARDE) or Reac-tive Ion Etching lag (RIE lag), as shown in Fig. 13.

RIE lag in which features of high aspect ratioetch more slowly is typically caused by the reductionof ions or neutrals to the bottom of the feature. Thisreduction is a combination of both the reduced viewfactor of the higher aspect ratio feature and thecharging within the feature. Lowering the processpressure makes the sheath more collisionless, thereby

Page 189: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Feature Evolution and Modeling 187bulk plasma

mask

poly-Si

oxide

sheath

SiO2

E

e-e- e-

e-

e-

e-

+

SiCl4

+ +

+++ + +

e_

Cl+

Cl

Cl2

SiCl2

+

↑→++

44 SiClClSi Cl

bulk plasma

mask

poly-Si

oxide

sheath

SiO2SiO2

E

e-e- e-

e-

e-

e-

++

SiCl4

++ ++

++++++ ++ ++

e_

Cl+

Cl

Cl2

SiCl2

+

e_

Cl+

Cl

Cl2

SiCl2

++

↑→++

44 SiClClSi Cl

Fig. 14. Non-ideality in plasma etching: (a) iondistribution is not uni-directional and (b)photoresist is etched and re-deposited.

oxide

poly-Si

oxide

oxide

poly-Si

oxide

oxide

poly-Si

oxide

oxide

poly-Si

oxide

Fig. 15. Ion trajectory changes causesmicrotrenches.

increasing the ion directionality. The greater ion di-rectionality reduces the dependence of the flux on theview factor. Increasing the ion energy also increasesthe ion directionality in a high-density, low-pressureplasma. The ion energy dispersion is determined bythe collisions in the presheath. Greater accelerationin the sheath results in an increased directionality.The effect of surface charging on the ion flux to thebottom is determined by the aspect ratio of the fea-ture and the ion to electron energy ratio. The greaterthe energy ratio, the less charging there is.

Since all of the above mentioned phenomenascales with the feature aspect ratio, the etching pro-files are typically modeled to reduce the number ofexperiments that must be performed and to projectthe effects to other sized features. As the minimumline width decreases RIE Lag and Inverse RIE Lag,in which small features etch more rapidly, becomemore significant. The reduction of RIE lag hasdriven the industry to low pressure, high-densityplasma sources.

Here, the important parameters affecting theevolution of features during etching and depositionare summarized:

1. Ion bombardment directionalityThe ion bombardment directionality is primar-

ily a function of the collisionality of the sheath (i.e.,its thickness in terms of mean-free-path lengths) asdiscussed in the section on ion bombardment energyand angular dispersion. The view factor to theplasma and the ion angular/energy distribution de-termines the flux on each element of the feature sur-face. Reactive ion etch lag (RIE lag) in whichsmaller features (i.e. a high depth to width ratio) etchmore slowly is the primarily caused by this effect.

2. Ion scattering within the featureThe energetic ions can scatter from the surface

features and produce significant fluxes at other partsof the surface profile. Trenching, the more rapidetching of the surface at the base of the sidewall, isone artifact of ion scattering. If the resist does nothave a vertical profile or becomes faceted, ion scat-tering onto the opposite sidewall and undercuttingcan also be observed due to ion scattering. The sig-nificance of ion scattering is both a function of theslope of the feature sidewalls during etching and theangular dispersion of the ion bombardment. Obvi-

Page 190: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B7188

photoresist

poly-Si

oxide

photoresist

poly-Si

oxide

Fig. 16. Surface deposition/re-depositionmodifies the etched profile.

Fig. 17. Surface charging.

Fig. 18. Computed potential build up on thesurface as a function of position for an isotropicelectron flux with a temperature of 5 eV and ananisotropic ion flux of 100 eV. The potentialsare for a feature with an aspect ratio of 2. Notethat in this figure 0.5 and 0.5 is the bottom,±(2.5 to 0.5) is the sidewall, and ±(4.5 to 2.5) isthe top.

ously, with highly directional ion bombardment andvertical features, artifacts such as trenching occur.However, with nonvertical sidewall features, ionbombardment with a greater angular dispersion canproduce less trenching, because the scattered ions aremore dispersed. As shown in Fig. 15, because ionscattering within the features occurs at impingementangles greater than 40° off normal of the profile sur-face, this scattering can lead to the formation of mi-crotrenches near the base of a sidewall where the ionflux is the largest. The ion flux striking the featurebottom is the sum of the direct flux from the plasmaand the flux reflected off the sidewalls. Simulationof the over-etch step using this non-uniform ion fluxat the feature bottom, demonstrates that the oxidelayer is broken through at the positions with thehighest ion flux. Microtrenches are formed in theunderlying silicon substrate once the thin oxide isetched through.

3. Deposition rate of passivants from the plasmaPassivation is essential in highly directional

etching processes to prevent spontaneous etching asdiscussed earlier. The passivation can be producedby production of depositing species in the plasmasuch as CF which plates out on the surface features tobuild up a polymeric passivant layer. These canoriginate from the introduction of gases such as CHF3or the etching of photoresist. Stable volatile productssuch as SiCl4 can also be broken up by the plasma toproduce depositing species such as SiCl2.

The effect of photoresist erosion was directlyrelated to redeposition and etching directionality.The presence of excess amounts of passivant deposi-tion leads to the narrowing of features, i.e. taperedin which the sidewall tapers out into the unmaskedregion. The thick sidewall passivation acts as a maskfor the next increment of etching. The presence ofsuch a tapered feature also affects ion scattering,which can alter the etched profiles. As shown in Fig.16, micro-tenching is eliminated when photoresist isused as the mask (compared to a hard mask), due tothe redeposition modifying the slope of sidewalls andaltering the etched profile.

4. Line-of-sight redeposition of productsThe non-volatile etching products (from etch-

ing of photoresist or thin films) can also re-depositand modify the feature profile. Line-of-sight proc-

Page 191: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Feature Evolution and Modeling 189

Fig. 19. Computed flux to the feature for thefeature with an aspect ratio of 2. The dottedline indicates the expected flux if the charginghad not occurred. Charging is shown to re-duce the ion flux to the bottom of the featureand enhance the flux to the sidewalls.

bulk plasma

+

photo-resist

poly-Si

oxide

E

e-e- e-

e-

e-

e-sheath

+ Cl+

ClCl2SiCl2

+ + + + + + + +

-----

-----

+

+ +

+ +

+

++

+

bulk plasma

++

photo-resist

poly-Si

oxide

E

e-e- e-

e-

e-

e-sheath

++ Cl+

ClCl2SiCl2

+ + + + + + + +

-----

-----

++

++ ++

++ ++

++

++++

++

Fig. 20. Formation of notch at the end of theetching of a conducting materials on aninsulating material.

esses can be very important in narrow features. Notethat the mean free path is long with respect to anyfeature size in most of the plasma etching processes.Therefore processes on one surface can couple di-rectly with those on any other surface which has anon-zero view factor. For example, the lack of side-wall passivation from sputtered photoresist from thenext line can lead to undercutting and mouse biteson the outside sidewall of a line. A mouse bite is thebreakthrough of the sidewall passivation allowingrapid spontaneous etching that produces a cavity.When observed in an SEM, it looks like a mouse biteinto a line.

Excess deposition of product on the sidewall ofthe photoresist can lead to the formation of involatileproducts, e.g. SiO2 after ashing. The removal of thephotoresist, but not the sidewall passivants causes theformation of ears on the line, as shown previouslyin Fig. 9.

5. Charging of surfaces in the featuresFeatures can become charged by two different

mechanisms, as detailed in Figs. 17-19. First, in thepresence of a magnetic field a plasma can support apotential gradient across a wafer. As the wafer isconductive or the film being etched may be conduc-tive, the potential of the conductive wafer will be uni-form. The photoresist and/or other insulating sur-faces can charge to different potentials where the netflux of ions and electrons from the plasma is neutral,if little surface leakage or bulk film conductivity oc-curs. Under these conditions, the charging of thefeatures can lead to different etching profile acrossthe wafer.

A second mechanism for charging is caused bythe differing angular dispersion of the ions and theelectrons. The positive ions are highly directionalcompared to the electrons which act as a free gas andwould be isotropic. Since the electrons are cap-tured with a probability approaching unity, their truedistribution is near that of a gas incident on a surfacewhich is cosine with respect to the surface normal.The steady state condition must have a net equal fluxof electrons and ions for a perfectly insulating sur-face. The surface potential builds up until that isachieved. This phenomenon is a function of the ge-ometry of the surface (aspect ratio), the ion direction-ality, the ion energy, and the electron energy. Be-cause of the differing flux at the edge compared to

Page 192: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B7190

-4 -3 -2

-1

-1

00

0

0

0

0

0

1

1

1

1 1 1

22

2

2 2 2

33

3

3 33

4

4

4

55

557.5

7.510

1012.515

Mask

Poly

Oxide

Si

σb = 104

σb = 104σb = 0.001

σb = 0.001

-4 -3 -2

-1

-1

00

0

0

0

0

0

1

1

1

1 1 1

22

2

2 2 2

33

3

3 33

4

4

4

55

557.5

7.510

1012.515

Mask

Poly

Oxide

Si

-4 -3 -2

-1

-1

00

0

0

0

0

0

1

1

1

1 1 1

22

2

2 2 2

33

3

3 33

4

4

4

55

557.5

7.510

1012.515

-4 -3 -2

-1

-1

00

0

0

0

0

0

1

1

1

1 1 1

22

2

2 2 2

33

3

3 33

4

4

4

55

557.5

7.510

1012.515

Mask

Poly

Oxide

Si

Mask

Poly

Oxide

Si

σb = 104

σb = 104σb = 0.001

σb = 0.001

Fig. 21. Potential profile in a feature with aninsulating bottom and conducting polysidewalls. Potentials approaching ion energydevelop at feature bottom corner; negativepotentials at top corner.

SiO2

Si

SiO2

Si

SiO2

Si

SiO2

PRPR

PR

+Cl

++

Cl

+

+Cl

+

SiO2SiO2

Si

SiO2SiO2

Si

SiO2SiO2

Si

SiO2SiO2

PRPRPRPR

PRPR

+Cl

+++

Cl

+++

Cl

+++

Cl

++

+Cl

+++

Cl

++

Fig. 22. The passivation material barrier isremoved and spontaneous chemical etchingoccurs, leading to the notch formation.

the interior of a set of lines and spaces, the edge linescan etch differently. Often the edge line will receivemore passivant on the outside of the line because ofthe larger view factor for neutral passivant deposi-tion. This mitigates the effect of the excess ion fluxon the outside of the line, but can lead to excess fluxon the inside sidewall of the outside line.

In addition, it is particularly important for con-ditions with lower ion bombardment energy andhigher electron energy which is characteristic of mostnew high density plasma sources. The charging canbe several times that of the electron energy for aspectratios of 2 and therefore can be a few tens of volts.As the potential can build up to a few tens of volts,this charging may also contribute to gate oxide rup-ture. In gate oxide rupture, the potential across a gateduring an etching process exceeds a threshold valueand current passes, thereby damaging the oxide.

Surface charging can also contribute to RIElag, trenching, and barrel etching artifacts. In addi-tion, the increased flux of ions at the foot of thesidewall can lead to rapid undercutting. Thinning ofthe passivant at the bottom because of charging alsomay lead to the spontaneous etching of the silicon-oxide interface, causing the formation of a notch.The chemical etching is more rapid because the stressat the interface accelerates the rate of spontaneousetching, and the high stress state of the interface isbelieved to cause spontaneous etching in undopedand p-type polysilicon (Fig. 20-22).

IV. PROFILE SIMULATIONNumerous models for profile evolution in

plasma etching and deposition can be found in theliterature. All of these models depend upon the userentering into the model, information for the etchingor deposition kinetics, e.g. ion enhanced etching rate,ion directionality, spontaneous deposition rate,sticking probability of reactants, etc. Various algo-rithms are then used to compute the movement of thesurface with time and display it. The most commonprograms in use are 3-D Simulator (UIUC), SAM-PLE (Berkeley), EVOLVE (RPI) and SPEEDIE(Stanford). Each of these models presently have thecapabilities to include certain physical mechanismsthat were discussed above.

Monte Carlo Profile SimulatorAn example of Monte Carlo simulation is given

Page 193: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Feature Evolution and Modeling 191

adsorption

desorptionscattering

collision

knudsen diffusion

adsorption

desorptionscattering

collision

knudsen diffusion

Fig. 23. Concept of DSMC.

PR

poly-Si

SiO2

Source plane

ClCl+

PR

poly-Si

SiO2

Source plane

ClCl+

2-D Fluxes (3-D for scattering angle)

Surface Kinetics(based on kinetic model)

Local fluxes

Cl+ and Cl fluxes

Local etching yield

Profile Evolution(based on 25-50 A grid removal)

o

PR

poly-Si

SiO2

Source plane

ClCl+

PR

poly-Si

SiO2

Source plane

ClCl+

2-D Fluxes (3-D for scattering angle)

Surface Kinetics(based on kinetic model)

Local fluxes

Cl+ and Cl fluxes

Local etching yield

Profile Evolution(based on 25-50 A grid removal)

o

Fig. 24. Schematic drawing of Monte Carlosimulation

PR

poly-Si

SiO2

PR

poly-Si

SiO2

Un-shadowed Shadowed

Cl+ ClCl+ Cl

PR

poly-Si

SiO2

PR

poly-Si

SiO2

Un-shadowed Shadowed

PR

poly-Si

SiO2

PR

poly-Si

SiO2

Un-shadowed Shadowed

Cl+ ClCl+ Cl

Fig. 25. Etched profiles for simulationevaluation.

here to explain the feature evolution modeling. Thesimulation domain spanned the centerlines of neigh-boring photoresist lines that defined the trench to beetched (Fig. 23 and 24). The domain was discretizedinto square cells with 50Å sides, a dimension compa-rable to the surface chlorination layer depth causedduring ion induced etching processes. Trajectories ofindividual highly directional ions and isotropic neu-trals were tracked from the source plane until theyencountered a surface. At the surface, the reactantspecies particle could either scatter or stick. Usingthis Monte Carlo algorithm permitted us to incorpo-rate the dominant physics and chemistry of the etch-ing process easily by using kinetically based prob-abilities for each surface process and performing anelemental balance for cell each time the surface inter-acted with a reactant species. The surface probabili-ties incorporated in the simulator were based on thesurface kinetics models developed. They includedangle-dependent ion-enhanced etching, sputtering,ion reflection, recombination of neutrals on surfaces,deposition from the source plane and redeposition ofetching by-products. Whenever a surface cell wasetched or deposited, the surface was redefined.

Patterned polysilicon samples were etched inthe beam apparatus to generate test structures formodeling confirmation. The samples were etched by35 eV Cl+ at a neutral-to-ion flux ratio of 200. Thepatterned samples were aligned so that the patternedlines were either parallel or perpendicular to thebeam plane, as shown in Fig. 25. When the patternedlines were parallel to the beam plane, the photoresistdid not shadow the beam fluxes producing uniformincident fluxes of both Cl+ and Cl at the bottom of thefeature. However, when the patterned lines wereperpendicular to the beam plane, the photoresistblocked the Cl beam flux from reaching part or allof the feature bottom.

In the unshadowed orientation, an anisotropicetching profile was observed after etching throughthe polysilicon film to the underlying oxide film.Slight undercutting of the sidewall indicated nosidewall passivation, which is consistent with thegood photoresist integrity and lack of by-productdeposition in the beam apparatus.

In the shadowed orientation, a directionaletching was observed at the left side of the trenchwhere sufficient Cl+ and Cl fluxes induced ani-

Page 194: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B7192

Fig. 26. The orientation of the patterned sam-ples with respect to the beam plane, the SEMimages of the etched sample in Fig. 25, andthe Monte Carlo simulated profiles of (left) anun-shadowed case, and (right) a shadowedcase. Profiles etched by 35 eV Cl+ and Cl at aneutral-to-ion flux ratio of 200.

Fig. 27. Simulation of faceting caused byphysical sputtering of feature.

Fig. 28. Deposition in a trench from anisotropic flux with 0.01 and 1.0 sticking

probabilities.

Fig. 29. Roughening of surfaces during etch-ing

sotropic etching of the polysilicon. The reduced Clflux on the right side of the trench that was shadowedcaused a slower etching rate resulting in unetchedpolysilicon. Since the etching of the polysilicon onthe right side is too high to be just Cl+ sputtering, andtoo low if all Cl atoms scattered from the photoresistcontributed to the etching of the right side of thefeature. It is postulated that chlorine atoms recom-bine each other to form molecular chlorine upon col-liding with the photoresist surface. Since the reac-tivity of molecular chlorine is much lower than thatof atomic chlorine on a highly chlorinated surface,we expect reduced contribution of the scattered neu-trals to the etching of the polysilicon on the rightcomer. By carrying out a sensitivity analysis for therecombination probability of Cl on the photoresist, itis found that a probability of 0.5 best represented themeasured profile.

To test the Monte Carlo simulator, several testcases were performed in which a subset of all possi-ble surface processes were allowed to occur, the fa-ceting of resist caused by physical sputtering isshown in Fig. 27. The photoresist lines were sub-jected to directional ion bombardment with no rede-position of the sputtered species. The highly direc-tional ion flux produces faceting in which the facetangle is equal to the angle corresponding to themaximum sputtering yield. The Monte Carlo simu-lator captures this peculiar effect with the facet beingformed at 63° from the horizontal.

Plasma etching processes typically includedeposition processes from either the plasma or rede-position of etched products. This deposition pas-sivates the sidewalls preventing undercutting andmodifies the etching profile evolution. The MonteCarlo simulator can predict deposition profiles undera variety of conditions. Shown in Fig. 28 are twosample profiles with an isotropic flux of depositingspecies from the gas phase on a trench with a unityaspect ratio. For low sticking probabilities, the depo-sition is conformal. However, with unity stickingprobability, highly non-conformal deposition is ob-served.

Figure 29 also demonstrates that the roughen-ing of surfaces during plasma etching can be simu-lated; a) shows the profile obtained by sputtering aplanar surface in which the sputter products are notallowed to redeposit. The surface roughening is

Page 195: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Feature Evolution and Modeling 193caused by the statistical variation of the ion flux andthe variation in sputtering yield with angle (the sameeffect which causes faceting). Part b) was obtainedunder the same conditions but allowing the productsto redeposit. Though very similar to a), one can seemore order in the small pillar-like structures on thesurface and a greater roughness. Redeposition lowersthe etching rate of the surface slightly. In part c)deposition from the source plane is included andgrass-like structures are observed. The tips of thesurface features receive a greater deposition flux be-cause of their larger view factor of the plasma whilethe valleys are shielded and therefore have a lowerdeposition flux. Another mechanism for grass for-mation involves micromasking of the surface that thissimulator can also model.

V. PLASMA DAMAGEThe exposure of wafers to a plasma causes a

variety of damage to the thin films beneath, assummarized in Table 1 and 2 at the end of this sec-tion. The nature of these damage mechanisms isvaried but are all considered faulty during electricaltesting.

1. ContaminationFirst, metals sputtered from the electrodes or

walls of the chamber can deposit on the wafer, lead-ing to contamination. This source of damage can bedealt with by reducing the plasma potential with re-spect to the chamber surface until it is less than thesputtering threshold, typically about 15 eV. In high-density etchers, the self-bias of the plasma potentialis approximately 25 eV, thus the electrode on whichthe wafer rests must also be regarded as a possiblesource. One solution is to make all the surfaces thatcan be sputtered of high purity, non-objectionablematerials; typically anodized aluminum.

Contaminants from the ashing of photoresist, inparticular Na and K can be problematic as they aremobile ions in oxide and can cause the thresholdvoltage shift of MOSFETs. Wet cleans are usuallyeffective in removing these contaminations. Somestudies have indicated that the exposure of the waferto ion bombardment and/or UV results in the incor-poration of these alkali metals into oxide making itdifficult to be removed by a short wet clean.

2. Particulates

Page 196: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B7194

The dominant loss process in all microelec-tronics processing is point defects that are typicallyattributable to particulates contamination. The majormechanisms for particulate contamination in plasmaprocesses are mechanical abrasion of moving roboticparts, the flaking of deposited films onto the wafer,degradation of materials, arcing, and the formation ofparticulates in plasma phase.

The formation of particulates by mechanicalabrasion is obviously most readily avoided byavoiding abrasion and/or keeping any parts that mustcome in contact with the backside of the wafer.Limiting the flow rate of venting gas in the robot hasalso been found to reduce the levitation of particu-lates from the bottom of the chamber. In certainprocesses, the rapid pump down of chambers leads toadiabatic cooling of air and can induce water par-ticulates that in turn deposit on the wafers.

Materials that slowly react with the plasmaproducts can degrade and produce particulates. Inparticular, O-rings that are used in seals, if made ofan incompatible material, can shed particulates afterplasma exposure.

In plasma processes, arcs can occur at sharpcorners because of the high electric fields caused bythe small radius of curvature. The rounding of alledges (sometimes due to welding) reduces arcing andassociated evaporation of material near the arc, andsubsequent particulate formation.

In etching processes, particulates can beformed in the gas phase under extreme conditionsand their motions are governed by the sheath dy-namics. In PECVD particulate formation in the gasphase is always a concern. The plasma charges par-ticulates negatively, thereby suspending them. Theyundergo transport by thermal gradients, ion wind,concentration gradient, gas flow, electric field, andmany other forces. These particulates can be elimi-nated from the wafer region by certain gas flow pat-terns that overcome the dominating electric fields.The formation can be curtailed before the particlesgrow to a size that is problematic by pulsing theplasma. In the absence of the plasma, the small par-ticles are sweep out of the reactor with the gas flow.

3. Gate oxide damage − photonTransistor gate oxides can also be damaged by

photons with energies greater than about 10 eV,

Page 197: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Feature Evolution and Modeling 195

Fig. 30. The origin of photon induced damageto ultra-thin dielectrics.

Field Oxide

Dielectric 1

Gate

+

PR

Metal 1

Ions Electrons

+ ++ ++++

+ + +

+++ + + +

Field Oxide

Dielectric 1

Gate

++

PR

Metal 1

Ions Electrons

++ ++++ ++++++++

++ ++ ++

++++++ ++ ++ ++

Fig. 31. Electrical stress induced damage.

which can excite electrons into the conduction bandof silicon dioxide from the valence band, leaving be-hind a trapped holes (broken bond) and probably cre-ating damage. If the oxide is a gate dielectric layerfor the MOSFET, reliability may be impaired. Low-energy photons (4-9 eV) excite electrons into theoxide to neutralize holes created by higher-energyion bombardment and can reduce the damage. Photonenergies higher than 16-20 eV can penetrate polysili-con or metal layers, damaging the gate oxides evenwhen they are covered by gate metal. The net resultin terms of oxide damage involves a complex inter-action between the spectrum of the plasma and theproperties of the layers, as depicted schematically inFig. 30. Normally, once a circuit has received a thicklayer of CVD oxide dielectric, the gate oxide is well-protected from further radiation damage.

4. Gate oxide damage − electrical stressThe potential variations on a wafer during

processing can connect to individual gates and if thevoltage exceeds 10-20 volts, current passes throughthe gate oxide causing the gate oxide to degrade.Electrical shorting and low gate breakdown can beobserved after such process induced electrical stress(Fig. 31).

It is known that such damage occurs after afilm is cleared. It is not confined to gate etch, but isactually most likely in second metal etch. The metallines electrically connect to individual gates and thuscan induce gate oxide damage without direct plasmaexposure. Much work has been done with test struc-tures which have striven to ascribe the damage to oneof two mechanisms: 1) an area ratio effect in whichthe large conductive line serves to collect excesscharge and funnel it to a single gate or 2) a perimetereffect in which the charging occurs because of theperimeter of the conductive line collecting charge.

The effects appear to be caused by a combina-tion of the isotropic electron and anisotropic ionfluxes that lead to charging within a feature. The pe-rimeter of the lines has a larger view to the electronsthan a line surrounded by other lines. The outsideline, therefore, charges to a different potential thaninside lines. This leads to both different feature pro-files as well as potentially electrical damage.

5. Lattice damageThe ion bombardment during etching can in-

Page 198: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Part B7196duce lattice damage and the incorporation of hydro-gen and fluorine quite deep into the silicon substrate.Ion bombardment also produces a large flux of inter-stitials that can diffuse into the lattice. It has beenobserved that pn junction below a depth of about 60nm are not affected, but shallower junction can ex-hibit leakage and non-idealities. The incorporation ofcarbon into the first few monolayers also occurs andcan increase the resistance. The reduction of ionbombardment energy in general reduces these effects.

6. Post-etch corrosionPost-etch corrosion is problematic after alumi-

num metal etches as aluminum undergoes electro-chemical attach. Chlorine left on the wafer surfaceafter etching is absorbed in a condensed water layerupon exposure to air forming a thin electrolyte solu-tion on the surface. The corrosion is particular prob-lematic when the aluminum is in contact with a dif-ferent metals such as TiW which is used as a barrierlayer. The presence of a second metal forms a "bat-tery" which discharges by the corrosion of the alumi-num. As the technology evolves, since the new in-terconnect material, Cu, can not be etched, the chal-lenges are on the etching of low-k materials and theirintegration.

Page 199: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Feature Evolution and Modeling 197

Table 1. Potential damage inherent in plasma-based processing (IBM)

Damage type Basic cause Present in etchingor deposition Materials affected

Residue contami-nation

Exposure to plasma Usually only in etching dueto reaction by-products re-maining on surfaces

All

Plasma-caused spe-cies permeation

Exposure to plasma Both Dielectrics andsemiconductors

Bonding disruption Exposure to plasma Particleand/or photon bombardment

Both Dielectrics andsemiconductors

Current flow dam-age

Current flow during plasmaprocessing due to charging orinduced EMFs

Both Dielectrics

Table 2 Impact of processing flow and of device and circuit layout (IBM)

Impact cate-gory

Plasma damage type affected Example

Processingflow--passivation

Bonding disruption due tobombardmentWear-out damage

Hydrogen released in subsequent processing such asa postmetallization anneal or a hydrogen-ladenplasma step can passivate damage

Processingflow--activation

Bonding disruption due tobombardmentCurrent flow damage

Charging current of a subsequent plasma-based stepcan activate dielectric damage passivated in an ear-lier step

Processingflow--cumulativeeffects

Bonding disruption due tobombardmentCurrent flow damage

Dielectric current flow damage of a plasma-basedstep can augment current flow damage of an earlierplasma-based step

Layout--antenna effects

Current flow damage Interconnects can collect charge that must passthrough a dielectric to dissipate

Layout--EMFloop effects

Current flow damage Interconnect loops can induce EMFs from time-changing magnetic fields, thereby setting up cur-rents across dielectrics

Layout--edgeeffects

Bonding disruption due tobombardmentCurrent flow damage

Device edge exposure to plasmas can cause damagedue to bombardment and/or increased current flowdamage arising from edge conduction

Page 200: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Current Problems in Semiconductor Processing 199

S

O

M

Gate

DrainSource

Dielectric

Si conduction channel

Fig. 1: A thin layer of SiO2 or other dielectricseparates the gate electrode from the conductionlayer in a MOS transistor.

Fig. 2. The electrostatic potential in an 0.35 µm MOStransistor, mapped by electron holography, showingthe actual structure in an 0.7 × 0.7 µm field [Gribelyuket al., Phys. Rev. Lett. 89, 025502 (2002)].

PRINCIPLES OF PLASMA PROCESSING

EPILOGUECURRENT PROBLEMS IN

SEMICONDUCTOR PROCESSING

In a rapidly changing technology, problems areencountered and solved continually. Though thegeneral principles covered in the main lectures willnot change from year to year, the material in thissection is just one snapshot in time and should be up-dated yearly. Most areas of research fall into thecategories of front-end design, interconnects, oxidedamage, and species control in plasma sources.

Design of smaller transistors has led to theneed for high-k dielectrics and ways to etch them.Interconnects are metal conductors, surrounded byinsulators, used for electrical connections within thechip. To speed up the signals along these paths, theRC time constant has to be reduced; thus the need forlower-resistance metals and low-k dielectrics. Effi-cient oxide etchers for the damascene process areused to address this problem. Plasma-induced dam-age to thin gate insulators is such a severe problemthat it can control the type of plasma reactor chosenfor etching. Plasma sources for processing the nextgeneration of 300-mm wafers are already in produc-tion, and new types are not yet needed. However,measurement and control of the atomic and molecu-lar species impinging on the wafer is still a problemin existing devices.

I. FRONT-END CHALLENGES

1. High-k dielectrics The basic building block of a CPU (centralprocessing unit) is the MOSFET transistor, whosesimplified structure is shown in Figs. 1 and 2. If wewant faster devices while maintaining reasonabledrain current, the channel length has to be shorter.However, if the channel length is decreased, the gatearea will also decrease, lowering its capacitive cou-pling to the channel. So the gate dielectric thicknesshas to be decreased to maintain the same gate ca-pacitance. However, SiO2 layers cannot be mademuch thinner than about 20Å because of leakage cur-rents and the difficulty of maintaining uniform thick-ness. With high-k materials, the dielectric constant

Page 201: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Epilogue200

10-2 1 102 104 106 108 1010 1012 1014 1016

Interfacial and Space Charge

Orientationaldipole

Ionicdipole Electronic

dipole

1

k

Frequency10-2 1 102 104 106 108 1010 1012 1014 1016

Interfacial and Space Charge

Orientationaldipole

Ionicdipole Electronic

dipole

1

k

10-2 1 102 104 106 108 1010 1012 1014 1016

Interfacial and Space Charge

Orientationaldipole

Ionicdipole Electronic

dipole

1

k

Frequency

Fig. 3: Dielectric constant k vs. frequency.

Table 1. Potential high-k candidates.

p-Si

SiO2

< 20 ÅZrO2

n+ n+

S

ID

VD

DVG

Poly

p-Sip-Si

SiO2

< 20 ÅSiO2SiO2

< 20 ÅZrO2ZrO2ZrO2

n+ n+

S

ID

VD

DVG

n+ n+

S

ID

VD

DVG

n+ n+

S

ID

VD

DVG

n+ n+n+n+ n+n+

S

ID

VD

DVG

SS

ID

VD

D

ID

VD

DVGVG

PolyPoly

Figure 4. Etching ZrO2 has problems withundercutting and incomplete removal of a silicatelayer below the source and drain contacts.

can be increased to maintain the same capacitance.Power consumption will also be reduced by the lowervoltage and current requirements of these down-sizeddevices. At frequencies of 1−1000 GHz, the dielectricconstant of the insulating material will decreasebecause only the ionic and electronic dipoles respondto the applied field, as shown in Figure 3. Since theionic component of the polarization arises from therelative motion of the charged atoms and theelectronic component stems from distortion of theelectron cloud, high-Z dielectrics, such as most of themetal oxides, tend to have a higher k than SiO2 andthus are considered as alternative gate dielectricmaterials. Moreover, as the physical thickness of thedielectric layer decreases below 10Å, directquantum-mechanical tunneling causes an unaccept-ably high leakage current, and breakdown of thedielectric occurs at unacceptably low voltages. Athicker dielectric film with a higher k will alsoalleviate this problem. For example, ZrO2, HfO2,and their silicates are being widely researched asalternative gate dielectric materials (Table 1). It is essential to develop an anisotropic pat-terning process for these high-k dielectric materialssince: a) these high-k dielectric thin films are thickerand more chemically resistant to HF compared toSiO2, so that isotropic etching in HF would undercutthe gate dielectric material more and affect the devicereliability; and b) some of the promising high-k ma-terials such as zirconium silicate (ZrSixOy) are quiteinert to strong acids, making it difficult to completelyremove the high-k materials above the source anddrain regions of the transistor. It has been shown thatless than a monolayer coverage of ZrSixOy wouldresult in a high contact resistance and significantlyreduced current. Figure 4 shows a schematic dia-gram demonstrating the issues of incomplete removalof interfacial layer and undercutting.

2. Metal gates Highly doped polysilicon is used for the gatemetal with SiO2, but migration of the dopant causes adepleted layer which decreases the gate capacitance,requiring the oxide layer to be even thinner. High-kinsulators alleviate this problem because they can bemore compatible with elemental and compound gatemetals. Metal gates would also help eliminate possi-ble reactions between poly-Si and the high-k materi-

Material κ EBD (MV/cm) EG (eV)SiO2 4 15 8

SiOxNy 4 15 6Si3N4 5-7 10-11 5TiO2 100 0.5 4Ta2O5 25 4 4Al2O3 11 10 8ZrO2 22 15 7HfO2 22 15 7Y2O3 15 5 6

Page 202: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Current Problems in Semiconductor Processing 201

Fig. 5. Single level metallization: the top layer is themetal.

Gate Gate

STI

Metal 1

Contact

STISTI

Contact

Metal 5

Metal 4

Metal 3

Metal 2

Dielectric 1

Dielectric 4

Dielectric 3

Dielectric 2

Dielectric 5

SiliconSubstrate

Gate Gate

STI

Metal 1

Contact

STISTI

Contact

Metal 5

Metal 4

Metal 3

Metal 2

Dielectric 1

Dielectric 4

Dielectric 3

Dielectric 2

Dielectric 5

SiliconSubstrate

Fig. 6: Multiple level metallization. Connections toseemingly isolated blocks are made in planes in frontof or behind this plane.

0

10

20

30

40

Gate with Cu and low-κ

Gate with Al and SiO2

Al and SiO2

Cu and low-κ

Gate

650 500 350 250 180 130 100

Del

ay (p

sec)

Generations (nm)

0

10

20

30

40

Gate with Cu and low-κ

Gate with Al and SiO2

Al and SiO2

Cu and low-κ

Gate

650 500 350 250 180 130 100

Del

ay (p

sec)

Generations (nm)Fig. 7. Gate delay and RC time constant, and their

sum, for new and old gate materials.

als. The search for an alternative gate electrode re-lies largely on the work function analysis of the po-tential gate material, process compatibility with di-electric deposition and annealing, and thermal andchemical interface stability with dielectrics. To re-place n+ and p+ polysilicon and maintain the scaledperformance for 50 nm CMOS and beyond, metalsand their nitrides, silicides, and oxides, such as Mo,Hf, MoN, HfN, Pt, Ni, and RuO2, are all being re-searched as potential gate materials. Of course,proper etching chemistries have to be identified andstudied to allow the patterning of these electrodes.

II. BACK-END CHALLENGESTo complete the formation of an integrated cir-

cuit, the solid-state devices need to be interconnectedand finally get connections to the world outside thesilicon chip. In this section, we will discuss metalli-zation and interconnection isolation using dielectricmaterials, and the challenges for future interconnects. Metals or heavily doped polysilicon have beenused to wire the devices, and it is important that thesewires have low resistance, make good ohmic con-tacts, and be properly insulated with dielectric mate-rials. The product of the resistance R of the metallines and the capacitance C of the dielectric materialsgives rise to the RC delay in the integrated circuit.Depending upon the materials used, barrier layers aresometimes needed between the metal and the dielec-tric materials. The single level interconnection in aCMOS structure is shown in Fig. 5. Typically, five-to nine-level interconnection schemes are needed fora high density of devices (Fig. 6). As shown in Fig.7, at device dimensions below 250 nm, the intercon-nection RC delay exceeds the gate delay (needed toestablish the channel and the current in it) and domi-nates the speed of the circuit. This crossoverprompts the search for lower resistance metal (Cu)and lower capacitance interlayer dielectric (ILD)materials. Therefore, it is critically important to ad-dress the challenging issues in metallization and in-terconnect isolation.

1. Copper metallization To reduce the RC delay, copper is chosen forits lower resistivity compared to aluminum. Copperis deposited by electroplating because the process ischeap and robust. However, copper halides are not

Page 203: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Epilogue202

Copper

Photoresist

Aluminum

Photoresist

Copper

Photoresist

Copper

Photoresist

Aluminum

Photoresist

Aluminum

Photoresist

Fig. 8. Left: Conventional subtractive intercon-nection scheme. Right: Damascene process.

Fig. 9. (Left) via-first and (right) trench-first dualdamascene processes.

very volatile, so no low temperature dry etchingprocess is available to pattern copper. Therefore,electroplating of copper into preformed dielectrics(the damascene process) is emerging as the newdeposition method for interconnects in advanced in-tegrated circuits. A conventional Al interconnect etching schemeis depicted in Fig.8 (left). First, photoresist is used toetch the metal to the desired pattern and then subse-quently removed. Dielectric material is then depos-ited on the patterned metal, followed by chemicalmechanical polishing (CMP) of the dielectric to yielda planar surface. The word damascene comes from the city ofDamascus, where the process of metal inlaid decora-tion was invented. In Fig. 8 (right), photoresist isused to etch a dielectric material to the reverse of themetal pattern desired, up to a stop layer. After ashingof the resist, a barrier layer and a Cu seed layer aredeposited, and then copper is electroplated into thepatterned dielectric. Chemical mechanical polishingof the metal and subsequent deposition of more di-electric produces the same structure as on the left. From a processing perspective, electroplatingis capable of void-free filling of sub-micron trenchesand vias. From an interconnect reliability perspec-tive, electroplating provides a surprising route toachieving large-grained bamboo-type interconnectstructures that improve electromigration resistance.

The advantages of copper metallization are:• Lower resistivity (1.7µΩ−cm, vs. 2.7 for Al)• High melting temperature (1083oC)• Better electromigration resistance• Inexpensive with electroplating

The disadvantages of copper metallization are:• Barrier material needed• No dry etching process available• Corrosion

The challenges in patterning interlayer dielec-trics for copper interconnects can be demonstrated bythe two commonly used dual damascene processesshown in Figure 9. Metal in small vias is used at thebottom, where space is at a premium due to the highpacking density of devices. The dielectric materialthere is low-k, because R is large. Above that layer,larger trenches can be used, possibly with SiO2 (in

Page 204: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Current Problems in Semiconductor Processing 203

Fig. 10. Multilayer Cu interconnections, seen with theinterlayer SiO2 etched away.

Fluorinatedpolyimide

Parylene

Fluorinatedarylene ether

PTFE

CF3 CF3

C

F

F

F F

F F

F F

F F OR O

O O N C C ) ( F

Fluorinatedpolyimide

Parylene

Fluorinatedarylene ether

PTFE

Fluorinatedpolyimide

Parylene

Fluorinatedarylene ether

PTFE

CF3 CF3

C

F

F

F F

F F

F F

F F OR O

O O N C C ) ( F

Fig. 11. Structure of organic low-k dielectric materals

red). The via-first damascene process begins withthe deposition of a thick dielectric on top of a thinetch-stop layer. A deep via pattern is etched throughthe entire dielectric stack. Photoresist processing fillsthe via and generates the trench pattern. The buriedetch stop is used to terminate the trench etch, and thephotoresist is removed to yield the final pattern. Theadvantages of the via-first process include the singlestep dielectric etch (giving highest throughput), aneasier lithography process, and the flexibility to in-clude multi-layer dielectrics. The disadvantages arepossible misalignment leading to reduced via size,selectivity variations with different dielectrics, needfor high selectivity to SiN, and difficulty in removingthe resist at the via bottom.

The trench-first damascene process starts thesame way, but the wider trench pattern is etched inthe first dielectric up to the stop layer. Another pho-tolithography step is used to pattern and etch the via.Removal of the photoresist yields the same structureas on the left. The advantage of this process is thatthe two etch processes can be optimized for each di-electric material or a single thick layer of dielectricmaterials can be used. The disadvantages include therequired timed-etch for the line and the difficulty inpatterning through a thick layer of photoresist. Fig.10 shows the complexity of the final product of mul-tilayer copper metallization.

The buried-via (a.k.a. self-aligned dual damas-cene) process involves the patterning of the nitridestop layer to define the via, followed by another in-terlayer dielectric deposition. Thus, only one etchingstep is required to form the same structure shown inFigure 10 (not illustrated).

2. Interlayer dielectrics (ILDs) Silicon dioxide (k ≥ 3.9) has traditionally beenused for interconnect isolation. However, lower di-electric constant materials are needed to further re-duce the RC delay. These low-k materials not onlyreduce the line-to-line capacitance, but also minimizecross-talk noise and reduce power consumption. Abroad spectrum of low-k materials including fluori-nated SiO2, organic polymers, nanoporous silica,amorphous fluorocarbon, and hybrid inorganic andorganic materials have been investigated for replac-ing silicon dioxide as ILD (Fig. 11). The effective-ness of new dielectric materials depend on the di-electric constant, thermal stability, water resistance,

Page 205: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Epilogue204

20 30 40 50 600

1

2

3

4

5

6

7

8

9

(Wei

ght l

oss)

% [4

50oC

/15m

in]

D

iele

ctric

con

stan

t (@

1MH

z)

Fluorine Content (at.%)

100

80

60

40

20

020 30 40 50 600

1

2

3

4

5

6

7

8

9

(Wei

ght l

oss)

% [4

50oC

/15m

in]

D

iele

ctric

con

stan

t (@

1MH

z)

Fluorine Content (at.%)

100

80

60

40

20

0

Fig. 12. Dielectic constant (left) and thermalinstability (right) of a-C:F films as a function of Fcontent. As k drops, weight loss increases.

-2 -1 0 1 2-14

-13

-12

-11

-10

-9

-8

-2 -1 0 1 2

-8

-10

-9

-11

-12

-13

-14

Log(

I) (A

/mm

2 )

a-C:F (36%), κ=2.88

SiO2κ=4.58

a-C:F (59%)κ=2.18

-2 -1 0 1 2-14

-13

-12

-11

-10

-9

-8

-2 -1 0 1 2

-8

-10

-9

-11

-12

-13

-14

Log(

I) (A

/mm

2 )

a-C:F (36%), κ=2.88

SiO2κ=4.58

a-C:F (59%)κ=2.18

Fig. 13. Leakage current of a-C:F films vs. voltage.As k drops, the leakage current increases.

Si3N4

Si3N4SiO2

SiO2

SiO2

Adhesion Layer

Low-K

Metal(Cu)

Barrier(Ti/TiN)

Si3N4

Si3N4SiO2

SiO2

SiO2

Adhesion Layer

Low-K

Metal(Cu)

Barrier(Ti/TiN)

Fig. 14. The Ti/TiN barrier layer is itself protected byan SiO2 layer. The Si3N4 layers serve as the etchstop. The need for an adhesion layer between SiO2and low-k dielectric depends on which is depositedfirst.

chemical stability, adhesion, and gap fill capabilities.

Low Dielectric Constant Materials• SiOF: fluorinated silica• SiOH: hydrogenated silica• Porous SiO2

• Organic polymers• Fluorinated polyimide• Fluorinated arylene ether• Parylene• PTFE (Teflon)• Amorphous fluorinated carbon (Figs. 12 and 13)• Air gap• Hybrid inorganic-organic material: F-polyimide +

SiO2

Desirable characteristics• Dielectric constant: k ~ 1 3• Good thermal stability, low expansion• Minimal moisture uptake• Good mechanical strength• Electrical leakage/breakdown similar to SiO2

• Less film stress• Good adhesion• Less capping material• CMP compatible• Etching selectivity to nitrides/oxides/oxynitrides• O2 ashing compatible

3. Barrier materials Titanium, tantalum, and tungsten and/or theirnitrides with low resistance are used as diffusion bar-riers and adhesion promoters between copper anddielectric. The integration of barrier materials withmetal and ILD is critically important in microelec-tronics interconnection, especially the chemical andthermal stabilities at the metal/barrier and bar-rier/ILD interfaces (Fig. 14). Atomic layer deposi-tion has been widely studied recently to allow thedeposition of these materials over high aspect ratiofeatures with greater conformality.

III. PATTERNING NANOMETER FEATURES

1. E-beam To generate finer features than uv light can de-fine, electrons generated from a thermionic or field-emission source can be used to write photoresist

Page 206: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Current Problems in Semiconductor Processing 205

Fig. 15. The SCALPEL® e-beam lithography system.

Mask (photoresist)

SiO2

E

e-e- e-

e-

e-

+

+

+

+ +

−− +→+ eOeO 22

SiO2

e-e- e-

e-

e-+

+

+

+ +

−− +→+ eCleCl 22

Si

SiO2

e-e- e-

e-

e-+

+

+

+ +

−− +→+ eOeO 22

OHCOOsist 22 +→+Re

Si4

4SiCl

ClSi→+

Mask (photoresist)

SiO2

SiO2SiO2

E

e-e- e-

e-

e-

++

++

++

++ ++

−− +→+ eOeO 22

SiO2

e-e- e-

e-

e-+

+

+

+ +

−− +→+ eCleCl 22

SiO2

SiO2SiO2

e-e- e-

e-

e-++

++

++

++ ++

−− +→+ eCleCl 22

Si

SiO2

e-e- e-

e-

e-+

+

+

+ +

−− +→+ eOeO 22

OHCOOsist 22 +→+Re

Si

SiO2

SiO2SiO2

e-e- e-

e-

e-++

++

++

++ ++

−− +→+ eOeO 22

OHCOOsist 22 +→+Re

Si4

4SiCl

ClSi→+

Fig. 16. By etching the photoresist, it can be madenarrower to generate finer features.

Resist

BARCSiO2

GateHigh-k

Si

Resist

BARCSiO2

GateHigh-k

Si

Fig. 17. State-of-the-art patterning processes.

directly. Electron scattering and the resultantproximity effect are the major challenges in e-beam lithography. The SCALPEL® (Scattering withAngular Limitation in Projection Electron-beam Li-thography) system developed by Bell Labs, LucentTechnologies, uses high-energy electrons, projectedthrough a photomask, to create integrated circuitfeatures just 30-80 nm wide, overcoming many of thelimitations faced in the current optical lithographysystems due to the available wavelengths of light. InFig. 15, an electron beam enters from the left andimpinges on a mask thick enough to scatter them butnot to stop them. Electrons passing through the openareas suffer minimal scattering and diffraction. Anelectromagnetic lens refocuses the electrons onto thephotoresist through a back-plane aperture, placed sothat most of the unscattered electrons but few of thescattered ones will be transmitted. A group of semiconductor device and equip-ment manufacturers has recently announced a jointagreement aimed at accelerating the development ofSCALPEL® technology into a production lithographysolution for building future generations of integratedcircuits.

2. Resist trimming Another alternative to generate finer features isto trim the photolithographically patterned featuresby an oxygen plasma etching process, as shown inFig. 16. In a real process concerning patterning thegate stack, several etching processes have to be usedbecause the photoresist is deposited on a layer ofbottom anti-reflective coating (BARC) on a layer ofSiO2, which is used as the hard mask in patterningthe gate polysilicon, as shown in Figure 17. It isthen necessary to use a series of plasma etching proc-esses to (1) trim the photoresist, (2) etch the BARC,(3) pattern the hard mask, (4) ash the photoresist andBARC, (5) etch the gate, (6) remove the hard mask,and (7) etch the gate dielectric. Each process re-quires a different etch chemistry and an in-depth un-derstanding of the surface chemistry to achieve highetch rate, greater anisotropy, high selectivity, and lessdamage. This demonstrates the complexity of theprocess of fabricating nanometer-scale transistors,and the challenge is to simplify and automate theprocess for speed, reliability, and reproducibility.

IV. DEEP REACTIVE ETCH FOR MEMS

Page 207: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Epilogue206

C4F8SF6 SF6

.

C4F8C4F8SF6 SF6

.

C4F8

Fig. 18. Schematic of the BOSCH process.

Fig. 19. High aspect ratio features patterned with theBOSCH process.

Fig. 20. MEMS devices (a micro-GC) made by theBOSCH process (Sandia National Lab).

Plasma etching is also needed in generatingnovel MEMS (MicroElectroMechanical Systems)structures, especially for high aspect ratio features.Because the dimensions of MEMS devices are muchlarger than in microelectronics, much faster etch rates(100 µm/h) is needed, but higher tolerance and someisotropy are allowed. To meet these requirements,the BOSCH process is typically used (Figs. 18 and19).

The key element in the BOSCH process is toalternate etching and passivation processes to allowthe generation of high aspect ratio features with highthroughput. SF6 is typically used to etch silicon toobtain high etch rate, and C4F8 is used to coat the un-dercut area with a passivation layer. By iteratingthese steps, high aspect ratio features can be gener-ated, typically with scalloped ridges inside the fea-ture. Figure 20 shows a spiraled gas chromatogra-phy column (GC) that is etched through a silicon wa-fer using the BOSCH process. Once this spiraledcolumn is coated with the appropriate stationaryphase material and encapsulated, a GC is made andcan be used for chemical separation prior to detec-tion.

V. PLASMA-INDUCED DAMAGEThe delicate circuits on a chip are easily dam-

aged during plasma processing by bombardment byenergetic ions or electrons, or even by ultraviolet ra-diation. Most problems are caused by the thin gateinsulator, usually SiO2. Suppose the gate is chargedto 0.4V by the plasma while the other side of the ox-ide is at ground potential. If the oxide is 40Å thick,the E-field across it is 1 MV/cm! High E-fieldscause a quantum-mechanical current, called theFowler-Nordheim current, to tunnel through the di-electric. If the oxide layer is much thinner than this,not much damage is incurred, but the layer is nolonger a good insulator. If it is thicker than this,electrons driven through the layer will damage thedielectric by creating defects in the lattice structure,changing the oxides characteristics, such as its ca-pacitance or breakdown voltage. Initially, plasmapotential uniformities or E × B drifts due to imposedB-fields were blamed for charging damage, but re-cently attention has been focused on the electronshading mechanism proposed by Hashimoto [Jpn. J.Appl. Phys. 33, 6013 (1994)].

Page 208: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Current Problems in Semiconductor Processing 207

Fig. 21. Hashimotos diagram of the electron shadingmechanism.

Fig. 22. Monte-Carlo simulation of ion orbits affectedby photoresist charging. In this case, curved orbitscan lead to notching of the trench bottom [Hwang andGiapis, JVSTB 15, 70 (1997)].

In electron shading (Fig. 21), electrons im-pinge on the insulating photoresist and charge itnegatively. The resultant negative space potentialprevents further electrons from entering the trench.Only ions reach the trench bottom, causing it tocharge up positively. If this potential is connected toa gate electrode, current will be driven through theoxide. The current flows back to ground elsewhereon the chip to complete the circuit. Note that if thegate is connected to ground during the etch, nocharge buildup can occur. However, when the etch-ing is complete and the gate is isolated, then it canbecome charged. Thus, most of the damage occursjust before or during the overetch period. Theamount of charge available to damage an oxide de-pends on other charge-collecting areas that are con-nected to the gate. The antenna ratio is ratio of thistotal area to the oxide area and can be of the order of104. The increase in damage with antenna ratio iswell documented. Special diagnostic wafer havebeen developed to measure the probability of damagein various parts of the wafer. There has been evidence that low Te can reducedamage, presumably because all plasma voltages de-crease with Te. Pulsed discharges have been studiedfor this purpose. In the afterglow plasma after RFturnoff, Te decays faster than does n, so that there is aperiod when etching can be done with a low-Teplasma. Mechanical filters for fast electrons havebeen proposed, as well as the introduction of gasesthat absorb them. On the other hand, RIE discharges,which normally have higher Te than ICPs, have beenfound to cause less damage. Though there have beencomputer simulations (Fig. 22), Hashimotos sce-nario has not been verified directly, and the primarymechanism for oxide damage is not well enough un-derstood that curative measures can be taken in apredictive fashion.

VI. SPECIES CONTROL IN PLASMA REACTORS Though plasma reactors are available which canproduce uniformity in density and temperature over a300-mm substrate, it is more difficult to control theuniformity of various chemical species impinging onthe wafer. There are two problems: uniformity of theneutral gas, and the distribution of molecular species. In processes that consume large quantities of

Page 209: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Epilogue208

Fig. 23. Neutral depletion of an initially uniform fillingof 10-mTorr argon gas by the plasma in a heliconsource. The data are at Prf = 1, 2, and 3 kW (top tobottom), and the lines are theoretical [G.R. Tynan, J.Appl. Phys. 86, 5356 (1999)].

the injected gas, such as deposition of amorphoussilicon onto glass substrates for flat-panel displays,large-area, small-gap RIE discharges can be used.The gas is injected uniformly through hundreds ofsmall holes in one of the capacitor plates. In low-pressure etching with ICPs, however, neutrals cannoteasily be injected into the interior of the plasma. Adense plasma will ionize neutrals injected from ashowerhead ring around the periphery, leaving thecenter depleted of neutrals. This has been observedby Tynan (Fig. 23).

A more difficult problem is to control, for in-stance in an argon−fluorocarbon discharge, the rela-tive concentrations of CF4, CF3, CF2, CF, F, etc. atthe wafer level. Some degree of control can be ob-tained by creating an argon plasma in the upper partof the chamber and then injecting the reactant gasfrom a showerhead lower down, where Te has cooledto a suitable level. In traversing the plasma towardthe center, however, neutrals will be dissociated andionized progressively, and the relative concentrationswill vary with radius. Plasmas with electronegativegases pose further problems. Control of the physicalproperties of RF plasma may be at hand, but controlof the chemical properties, perhaps by controlling theEEDF, is a subject for further development.

Page 210: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Sample homework and exam problemsPart A: Plasma physics

Disclaimer: These homework assignments and examsare taken directly from our files without editing orcorrections. We take no responsibility for their suitabilityfor use by others. Professors teaching a course usingthese notes as a textbook may obtain answers to theproblems from the authors if they agree not to allowcirculation of the answer sheets among the students. Arequest should also give the title, date, and frequency ofthe course offering and the expected enrollment.

Page 211: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

CHEMICAL ENGINEERING DEPARTMENTChE 234, Spring, 2002

Homework Assignment No. 1PROF. F.F. CHEN

Assigned April 4, 2002 Due April 11, 2002

1. Verify the conversion factor from KT to eV, as well as the numerical coefficients in theshortcut formulas for λD, fp, and fc. Compute these to three significant figures ( and nomore). [It is important to recognize that all those digits in your computer output are not realdata.]

2. Electron Cyclotron Resonance (ECR) plasma sources usually operate at f = 2.45 GHz,because the technology of magnetrons to produce this frequency has been well developed formicrowave ovens. These sources operate with ω = ωc. What magnetic field does thiscorrespond to?

3. Calculate the Larmor radius of a singly charged argon ion (A = 40) with 15 eV ofperpendicular energy (½Mv⊥

2) in the magnetic field you calculated in (2).

4. What is the free-space wavelength of 13.56 MHz radiation? [This is the wavelength invacuum, where the wave velocity is c.

5. A plasma resonance probe measures plasma density by finding a peak in its frequencyresponse at ω = ωp. If the peak is found at 280 MHz, what is the plasma density? [Pleasegive the right number of significant figures.]

6. What is the ion acoustic speed in a 5-eV helium plasma? [This means that KTe = 5 eV.]

Page 212: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

CHEMICAL ENGINEERING DEPARTMENTChE 234, Spring, 2002

Homework Assignment No. 2PROF. F.F. CHEN

Assigned April 11, 2002 Due April 18, 2002

Some of these exercises ask you to derive known results for yourself so that you do not blindlyaccept what is given to you by someone else. Please attempt to do this before looking at knownsolutions. You will be graded on effort. A copied answer will be quite obvious. Other problemsrequire you to learn how to use a computer to make graphs.

1. Prove that the neutral density at 20°C and 1 mTorr pressure is 3.3 × 1013 cm-3 for amonatomic gas.

2. The density at which electron-ion collisions are as frequent as electron-neutral collisions wasgiven in class as 6.9 × 109 pmTorrTeV

2 cm-3. Plot the fractional ionization F at this crossoverpoint as a function of Te, where F = n /(n + nn).

3. Plot the crossover density above vs. Te for various pressures p. Choose your scales so thatthese plots are as useful as possible for your own future use.

4. Using the collision probabilities given in the course notes, calculate the current density in anICP if KTe = 3 eV, p = 10 mTorr of Ar, n = 2 × 1011 cm-3, and E = 0.5 V/cm. Give units.

5. Calculate the cross-field diffusion coefficient D⊥ for electrons in a weakly ionized, 4-eVplasma in 20 mTorr of Ar, with a dc magnetic field of 500G. Give units.

6. (a) Estimate the mean free path of 60-eV primary electrons in a 3-mTorr argon discharge ofdensity 1012 cm-3, including collisions with both neutrals and ions. (b) About how far doessuch an electron go before it creates an ion?

Page 213: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

CHEMICAL ENGINEERING DEPARTMENTChE 234, Spring, 2002

Homework Assignment No. 5PROF. F.F. CHEN

Assigned May 21, 2002 Due May 28, 2002

1. Using collision data given earlier, calculate the skin depth in an unmagnetized ICP reactorrunning at 13.56 MHz in 10 mTorr of argon with Te = 4 eV and n = 2 × 1011 cm-3.

2. An RIE reactor has two identical circular electrodes of radius a, separated by a height h. Thespace between them is bounded by a grounded, metallic cylinder of radius a and length h.Different RF voltages , , , sin( )A B A B A BV V tω= are applied to the two electrodes. Assumingthat the plasma is a perfect conductor, derive an expression for the space potential Vs as afunction of time. [Hint: Write the electron and ion fluxes to each of the three surfaces as afunction of time, and set the total electron flux equal to the total ion flux. The diagram is acylinder of revolution around a vertical axis.]

VA VB

Vs h

a

3. A 65-GHz microwave interferometer is used to measure the density in a plasma column 10cm in diameter. A phase shift of 15 degrees is measured after a double-pass through theplasma. Assuming that the plasma is uniform, calculate its density.

4. The ion saturation current to a Langmuir probe 0.3 mm in diameter and 2 mm long ismeasured across a 50 Ω resistor, giving a reading of 25 mV. Using the Bohm formula for athin sheath, estimate the density of the 4−eV argon plasma.

5. On the back are some data taken for a Langmuir probe I V curve. Estimate the electrontemperature.

Vp Ie Vp Ie(V) (mA) (V) (mA)

-58.0 -0.68 -3.0 0.14-56.0 -0.66 -2.0 0.29-54.0 -0.64 -1.0 0.48

Page 214: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

-52.0 -0.62 0.0 0.75-50.0 -0.60 1.0 1.12-48.0 -0.58 2.0 1.62-46.0 -0.56 3.0 2.30-44.0 -0.54 4.0 3.24-42.0 -0.52 5.0 4.52-40.0 -0.50 6.0 6.27-38.0 -0.48 7.0 8.66-36.0 -0.46 8.0 11.93-34.0 -0.44 9.0 16.40-32.0 -0.42 10.0 22.51-30.0 -0.40 10.5 26.36-28.0 -0.38 10.8 28.11-26.0 -0.36 11.0 28.29-24.0 -0.34 12.0 29.08-22.0 -0.32 14.0 30.24-20.0 -0.30 16.0 31.02-18.0 -0.28 18.0 31.56-16.0 -0.26 20.0 31.97-14.0 -0.24 22.0 32.34-12.0 -0.23 24.0 32.70-10.0 -0.21 26.0 33.05-8.0 -0.17 28.0 33.36-6.0 -0.10 30.0 33.54-4.0 0.04

Page 215: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

CHEMICAL ENGINEERING DEPARTMENTChE 234, Spring, 2002

Homework No. 1 AnswersPROF. F.F. CHEN

Assigned April 4, 2002 Due April 11, 2002

In doing the homework, there are some good habits which I hope you will learn:

1. Express multiplication with parentheses like (3)(4) instead of 3⋅4 or 3×4, since these can bemisread. Cross your zs so they dont look like 2s.

2. Do not give more significant figures in the answer than are justified by the data. You can useone more digit in the data than you need in the answer and then round off at the end. But theanswer cannot be more accurate than the most inaccurate piece of data.

3. Carry out calculations algebraically as far as you can; then put in the numbers at the end.This saves entering numbers over and over again and prevents round-off errors. For instance, inthe examples that follow, you will notice that some factors cancel in the intermediate steps, sothat in the answer, very few numbers have to be entered.

_____________

1. Verify the conversion factor from KT to eV, as well as the numerical coefficients in theshortcut formulas for λD, fp, and fc.

a) 23

19

1.381 /

1.602BoltzmannK e J K

e e C

=

=

19

231.602 11600 /

1.381 /e CT K V

e J K

−= =

Note that (K)(T) and (e)(V) are in Joules.

b)

1/ 2 1/ 2 1/ 2 1/ 2 120

2 19

1/ 21/ 2 1/ 23 9

18

8.854 101.602 10

7.43 10 m = 7.43 10 m = 7.43 m

e eV o eVD

eV eV eV

KT T Tn e nne

T T Tn n n

ε ελ

µ µ

× = = = ×

= × ×

Here n18 is the density in units of 1018 m-3.

c)

1/ 2 1/ 22 191/ 2 1/ 2

12 300

½18

1 1.60 10 12 2 (8.85 10 )(0.91 10 )

8.98 8.98 GHz

pef n n

m

n n

π ε π

− −

×= = × ×

= =

Note that 1.6 is not the same as 1.60, which has 3 significant digits. Also, 0.91 has 3 significant figures because the next digit is only one part in 1000.

d) f em

B B B BcG

G G= =××

= ×−

−1

21

21602 100 911 10 10

2 80 1019

30 46

π π..

. Hz = 2.80 MHz

Page 216: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

2. Electron Cyclotron Resonance (ECR) plasma sources usually operate at f = 2.45 GHz,because the technology of magnetrons to produce this frequency has been well developed formicrowave ovens. These sources operate with ω = ωc. What magnetic field does thiscorrespond to?

f B Bc G G= × = × =××

=2 8 10 2 45 10 2 45 102 8 10

8756 99

6. . , ..

G

3. Calculate the Larmor radius of a singly charged argon ion (A = 40) with 15 eV ofperpendicular energy (½Mv⊥

2) in the magnetic field you calculated in (2).

2

1/ 22 3

1/ 2 1/ 2

1/ 2-27 4

19

½ 15eV=(15)( ) joules

30 30, ( 8.48 10 m /sec)

30 30 1

(30)(40)(1.67 10 ) 10 0.040 m = 4.0 cm8751.60 10

HL

c

Mv e

e ev vM M

v e M AMrM eB e Bω

⊥ ⊥

=

= = = ×

= = =

×= = ×

4. What is the free-space wavelength of 13.56 MHz radiation?8

63 10 22.1 m

13.56 10cf

λ ×= = =

×

5. A plasma resonance probe measures plasma density by finding a peak in its frequencyresponse at ω = ωp. If the peak is found at 280 MHz, what is the plasma density?

24 9

12 120.289 GHz, n = 9.68 10 , 0.97 10

9pf n n− = = × = ×

6. What is the ion acoustic speed in a 5-eV helium plasma?

( )1/ 219½ 4 6

27(5)(1.6 10 )/ 1.09 10 m /sec 1.09 10 cm /sec

(4)(1.67 10 )s eVc T M

×= = = × = × ×

Page 217: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

CHEMICAL ENGINEERING DEPARTMENTChE 234, Winter, 2000

Principles of Plasma ProcessingExam 2 (open book)

Prof. F.F. Chen 4-6 pm, Thur., May 30, 2002

1. The following Langmuir probe curve, with the ion current already subtracted, shows a bi-Maxwellian electron distribution.

0.01

0.1

1

10

100

-30 -20 -10 0 10 20Probe voltage (V)

Ele

ctro

n cu

rrent

(mA

)

a) Estimate the space potential Vs.b) Find the temperatures T1 and T2 of the two Maxwellians.c) Using the lower Te, estimate the density from Ie,sat if the probe is 1 mm in diam and 1 cm

long.

2. One plate of a 4-eV argon parallel plate discharge is grounded, and the other plate of equalarea is rf-driven at 200-V peak-to-peak. You are to calculate the time-averaged dc sheathdrop Vdc on the driven plate. Let

sin , , /b eh t eV KTη θ θ ω η= ≡ ≡ .

To find the average ηs, you would normally have to evaluate an awful integral of the form

( )sinln 1 he dθ θ+∫ ,

but there is an approximation you can use when h is large. When sinθ is >0, the 1 can beneglected, and when sinθ is <0, the exponential term can be neglected.

a) Find a numerical value for the normal Vdc in the limit Vb → 0.

b). Derive an expression for the sheath drop ηdc without inserting numbers.

Page 218: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

c) Do the integration to get Vdc (in volts) when Vb is on.

3. A helicon discharge with radius a = 5 cm is operated at n = 5 × 1012 cm-3 and B0 = 1000 G.The waves follow the dispersion relation

0

0

nek B

µωβ = ,

where β ≈ 3.83 / a. What is the energy (in eV) of an electron traveling at the phase velocityof the wave? [Hint: µ0 = 4π × 10-7.]

4. A cylindrical argon discharge 30 cm in diameter and 30 cm long is maintained in steady stateat KTe = 2 eV with 1 kW of absorbed RF power. No bias power is applied, so there is anormal sheath drop on all surfaces. What is the density? Hint: the Ec curve is reproducedbelow.

10

100

1000

1 10KTe (eV)

Ec (

eV)

Page 219: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

5. Multiple choice questions. Use intuition; calculation not required (nor desired).

1. The plasma frequency fp in a plasma reactor is most likely to be in the range

! 100−1000 kHz ! 1−100 MHz ! 100−1000 MHz ! 1−100 GHz ! 0.1−1 THz

2. The electron gyration radius at 4 eV in a 100-G field is closest to

! 1 µm ! 1 mm ! 1 cm ! 1 m ! 10 m

3. The Debye length at n = 4 × 1010 cm-3 in a plasma reactor with KTe = 4 eV is closest to! 10 m ! 1 m ! 1 cm ! 1 mm ! 0.1 mm

4. The cyclotron frequency at 1 kG is closest to! 3 kHz ! 3 MHz ! 3 GHz ! 3 THz ! 875 Hz

5. The collisionless skin depth at n = 3 × 1011 cm-3 is approximately

! 1 µm ! 1 mm ! 1 cm ! 1 m ! 1 km

6. All walls of an ICP are grounded, and a dc bias of −100 V is put on a large conductingsubstrate. The gas is argon, and KTe = 3 eV. The plasma potential Vs is closest to

! −105 V ! −99V ! −5 V ! 0 V ! +15 V

7. The ion temperature can be measured by the following ways (check all that apply):! Gridded energy analyzer ! Single Langmuir probe ! Double Langmuir probe! Microwave interferometry ! Laser induced fluorescence

8. The plasma density is most accurately measured with! Microwaves ! OES ! Electron saturation current! Ion saturation current ! Rogowski coils

9. The Bernstein-Rabinowitz-Laframboise theory of Langmuir probes includes the followingeffects (check all that apply):! Sheath formation ! Ion orbital motion ! Electron orbital motion! Charge exchange collisions ! Conservation of angular momentum

10. In RF HDPs, special techniques are needed to minimize RF interference with the followingdiagnostics (check all that apply):! Gridded energy analyzer ! Magnetic probe ! Langmuir probe! Microwave interferometry ! Actinometry

Page 220: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

CHEMICAL ENGINEERING DEPARTMENTChE 234, Spring, 1998

Homework Assignment No. 1aPROF. F.F. CHEN

Assigned January 11, 2000 Due January 20, 2000

1. Compute to three significant figures the numerical coefficients in the shortcut formulas forλD, fp, and fc.

2. Calculate the Larmor radius of an argon ion (A = 40) with 2 eV of perpendicular energy(½Mv⊥

2) in a 100G magnetic field.

3. Electron Cyclotron Resonance (ECR) plasma sources usually operate at f = 2.45 Ghz, becausethe technology of magnetrons to produce this frequency has been well developed for microwaveovens. These sources operate with ω = ωc. What magnetic field does this correspond to?

4. What is the free-space wavelength of 2.45 GHz radiation? [This is the wavelength invacuum, where the wave velocity is c.

5. If one were to make an ECR source at 13.56 MHz, what magnetic field would be required?How does this compare with the earths magnetic field?

Page 221: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

CHEMICAL ENGINEERING DEPARTMENTChE 234, Spring, 2000

Homework Assignment No. 2aPROF. F.F. CHEN

Assigned January 20, 2000 Due January 27, 2000

1. Prove that the neutral density at 20°C and 1 mTorr pressure is 3.3 × 1013 cm-3 for amonatomic gas.

2. The density at which electron-ion collisions are as frequent as electron-neutral collisions wasgiven in class as 6.9 × 109 pmTorrTeV

2 cm-3. Plot the fractional ionization F at this crossoverpoint as a function of Te, where F = n /(n + nn).

3. Plot the crossover density above vs. Te for various pressures p. Choose your scales so thatthese plots are as useful as possible for your own future use.

4. Using the collision probabilities given in the course notes, calculate the parallel diffusioncoefficient for a Maxwellian electron distribution at 4eV in 25 mTorr of A.

5. Add a magnetic field of 250 G to the previous problem and calculate the cross-field diffusioncoefficient D⊥.

6. (a) Estimate the mean free path of 50-eV primary electrons in a 3-mTorr argon discharge ofdensity 1012 cm-3, including collisions with both neutrals and ions. (b) Also estimate theionization mean free path.

Page 222: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

CHEMICAL ENGINEERING DEPARTMENTChE 234, Winter, 2000

Homework Assignment No. 3aPROF. F.F. CHEN

Assigned Jan. 27, 2000 Due Feb. 3, 2000

1. An atomic chlorine plasma in a 100 G magnetic field has KTe = 2.5 eV and KTi = 0.1 eV.Would you say this was a weakly magnetized or strongly magnetized plasma, and why?

2. The mobility of argon ions in argon gas is 1.17 × 106 cm/sec per V/cm, and the electron-neutral collision probability was given in the lecture notes. Estimate the ambipolar cross-field diffusion coefficient in a weakly ionized discharge in 50 mTorr of argon and a 600-Gmagnetic field with KTi = 0.05 eV and KTe = 2 eV. Hint: write down the units used in eachstep, and neglect electron-ion collisions.

3. The density profile in an unmagnetized argon discharge in a cylindrical tube of radius a = 2.5cm has the form

0 0( )n n J Tr= ,

where J0 is a Bessel function of order 0, T is such that J0(Ta) = 0, and n0 = 1012 cm-3.Supposing that the diffusion coefficient Da is given to you in cm2/sec, calculate the flux Γ ofplasma reaching the walls (in particles/cm2/sec), expressing the result in terms of Da.

Page 223: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

CHEMICAL ENGINEERING DEPARTMENTChE 234, Winter, 2000

Homework Assignment No. 4aPROF. F.F. CHEN

Assigned Feb. 3, 2000 Due Feb. 10, 2000( at midterm exam)

1. What is the skin depth in an unmagnetized ICP reactor running at 2 MHz in 10 mTorr ofargon if Te = 3 eV and n = 1011 cm-3? Hint: use our crossover criterion to see if you have toinclude both electron-neutral and electron-ion collisions.

2. An RIE reactor has two identical circular electrodes of radius a, separated by a height h. Thespace between them is bounded by a grounded, metallic cylinder of radius a and length h.Different RF voltages , , , sin( )A B A B A BV V tω= are applied to the two electrodes. Assumingthat the plasma is a perfect conductor, derive an expression for the space potential Vs as afunction of time.

VA VB

Vs h

a

Page 224: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

CHEMICAL ENGINEERING DEPARTMENTChE 234, Winter, 2000

Homework Assignment No. 7aPROF. F.F. CHEN

Assigned Feb. 24, 2000 Due March 2, 2000

1. A 65-GHz microwave interferometer is used to measure the density in a plasma column 10cm in diameter. A phase shift of 12.3 degrees is measured after a double-pass through theplasma. Assuming that the plasma is uniform, calculate its density.

2. You need a magnetic probe to measure the field in an ICP of 20 cm diameter operating at 2.0MHz. You would like a signal of at least 10 mV if the RF magnetic field is 1G. Design thesmallest coil you can make using AWG 24 Formvar-insulated wire with a diameter of 0.022inches. Specify the number of turns.

3. The ion saturation current to a Langmuir probe 0.3 mm in diameter and 2 mm long ismeasured across a 50 Ω resistor, giving a reading of 25 mV. What is the density of the argonplasma if KTe = 4 eV?

4. Below are some Langmuir probe I-V data taken in a plasma of some sort, plotted on twodifferent scales for clarity. Estimate the electron temperature.

Vp Ie Vp Ie(V) (mA) (V) (mA)

-58.0 -0.68 -3.0 0.14-56.0 -0.66 -2.0 0.29-54.0 -0.64 -1.0 0.48-52.0 -0.62 0.0 0.75-50.0 -0.60 1.0 1.12-48.0 -0.58 2.0 1.62-46.0 -0.56 3.0 2.30-44.0 -0.54 4.0 3.24-42.0 -0.52 5.0 4.52-40.0 -0.50 6.0 6.27-38.0 -0.48 7.0 8.66-36.0 -0.46 8.0 11.93-34.0 -0.44 9.0 16.40-32.0 -0.42 10.0 22.51-30.0 -0.40 10.5 26.36-28.0 -0.38 10.8 28.11-26.0 -0.36 11.0 28.29-24.0 -0.34 12.0 29.08-22.0 -0.32 14.0 30.24-20.0 -0.30 16.0 31.02-18.0 -0.28 18.0 31.56-16.0 -0.26 20.0 31.97-14.0 -0.24 22.0 32.34-12.0 -0.23 24.0 32.70-10.0 -0.21 26.0 33.05-8.0 -0.17 28.0 33.36-6.0 -0.10 30.0 33.54-4.0 0.04

Page 225: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

CHEMICAL ENGINEERING DEPARTMENTChE 234, Spring, 1998

Homework Assignment No. 3PROF. F.F. CHEN

Assigned April 21, 1998 Due April 28, 1998

1. Derive the expression in Eq. (3-21) for the ambipolar diffusion coefficient Da and show thatthe approximation of Eq. (3-23) is true only if De >> Di.

2. Using curves given earlier, estimate the cross-field diffusion coefficient for 3-eV electrons ina 10-mTorr argon discharge in an 800G magnetic field.

3. A cylindrical discharge 2 cm in radius and 50 cm long is filled with a uniform argon plasmawith n = 1012 cm-3 and KTe = 3 eV, with an ambient pressure of 3 mTorr. The system ismaintained in steady state by RF power that is applied from an external antenna. Using thegraph below, calculate the total loss rate of ion-electron pairs from the plasma.

Ionization probability in argon

1E-14

1E-13

1E-12

1E-11

1E-10

1E-09

1E-08

1E-07

1 10 100KTe (eV)

<συ>

4. Calculate the skin depth in a 27.12 MHz ICP discharge in 2 mTorr of argon when the plasmaparameters are n = 2 × 1011 cm-3 and KTe = 2 eV.

5. Calculate the maximum sheath thickness (Debye plus Child-Langmuir) in an RIE dischargewith n = 1010 cm-3 and KTe = 6 eV, when the applied RF voltage is 600 volts peak-to-peak.

Page 226: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

HOMEWORK--ChE 290due May 8, 1996

1. An argon plasma is created in a 5-cm diam glass tube which is long enough that it can beconsidered infinitely long. The plasma diffuses radially by ambipolar diffusion across an 0.1Tesla magnetic field. The electron temperature is 3 eV, and the neutral pressure is 5 mTorr. Thedensity profile can be taken to be parabolic of the form

n = no(1 - r2/a2),

where a = 2.5 cm. The density no on axis is unknown

a) Using the cross sections given in class, calculate the rate at which ion-electron pairsare lost per meter of tube length (in terms of the unknown no). Hint: the ions collide mainly bycharge exchange with neutrals, and the electrons collide with both neutrals and ions.

b) Calculate the average <σv>ion for the electrons and from that the number of ion-electron pairs created per meter of tube length.

c) Setting the creation rate equal to the loss rate, calculate the value of no.

2. Calculate the saturation ion current to a probe whose tip measures .010 in diameter and 2mmlong. This is in an argon plasma of density 3 × 1013 cm-3 and electron temperature 2 eV.

Page 227: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

CHEMICAL ENGINEERING DEPARTMENTChE 234, Winter, 2000

Principles of Plasma Processing

Midterm Exam, Part A (open book)

Prof. F.F. Chen 4-6 pm, Thursday, Feb. 10, 2000

NOTE: Extreme accuracy is not required. You do not have to use a calculator if you are carefulto write down clearly what numbers you are multiplying together or dividing.

A1. An unmagnetized argon plasma has KTe = 4.5 eV and n = 5 × 1011 cm-3. A DC bias of 800V is applied to a large, flat electrode relative to the plasma.

a) Calculate the total Debye and Child-Langmuir sheath thickness in mm.b) Calculate the ion and electron fluxes to the electrode in particles/cm2/sec.

A2. A low-pressure, uniform argon plasma in a chamber of volume 6 liters and surface area2000 cm2 is maintained at Te = 4 eV by an unspecified mechanism. Assuming that the ions reachthe walls at the Bohm velocity and that the ionization probability <σv>ion at 4 eV is 10-9 cm3/sec,calculate what the neutral pressure must be.

A3. Write a short answer to each of the following questions.

a) Why is a plasma needed for etching?b) Why is a plasma needed for deposition?c) In an RF discharge, why is the ion energy distribution at a substrate narrower if thefrequency is higher?d) If one plate of an RIE reactor is at +100V and the other at 100V, the plasma potential isapproximately (A) +101V (B) 99V (C) +1V (D) +99V (E) 1Ve) The simplified form of helicon theory assumes that the ion mass is infinite and theelectron mass is zero. But if the dispersion relation is

2

2p

ck c

ωωβω

=

why dont we have to specify the masses to evaluate this?f) Draw four types of ICP antennas.g) Why is a dust grain in an RIE reactor have a negative charge?h) Why do you need a matching network between an RF power supply and the antenna?i) The normal ion energy striking an unbiased wafer is, say, 20 eV. We can increase this by

applying a bias oscillator to the wafer. How can you reduce this energy?j) Draw the path of an electron undergoing stochastic heating in (A) an RIE reactor and (B)

an ICP reactor.

Page 228: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

CHEMICAL ENGINEERING DEPARTMENTChE 234, Winter, 2000

Principles of Plasma Processing

Final Exam, Part A (open book)Please hand in Parts A and B separately for faster grading

Prof. F.F. Chen 3-6 pm, Wed. March 22, 2000

1(a). The following Langmuir probe curve, with the ion current already subtracted, shows a bi-Maxwellian electron distribution. Measure the electron temperatures of the two electronspecies.

0.01

0.10

1.00

10.00

-30 -25 -20 -15 -10 -5 0 5 10Probe voltage (V)

Ele

ctro

n cu

rrent

(mA

)

1(b). An ion energy analyzer is mounted on a grounded substrate to measure the DC iondistribution reaching the wafer in a 3-eV plasma. Draw on the diagram the grids youwould insert in the design and tell what voltages you would put on each. If thedistribution has the shape shown, draw a rough sketch of the collector current vs.analyzer voltage curve that you would expect to find. The voltage scale should berealistic.

sheath

gridded analyzer

Page 229: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

0.00

0.01

0.02

0.03

0.04

0.05

0 20 40 60 80 100 120 140Ei = eVs (eV)

f (E i

)

Emin Emax

2. A plasma discharge in a long cylindrical tube has everything constant along the axial (z) andazimuthal (θ) directions, but the density varies radially. The plasma is so weakly ionized thatcollisions between charged particles can be neglected. Let KTe and neutral density nn beuniform everywhere. The discharge is maintained in steady state by ionization at the ratenenn<σv>ioniz balanced against ambipolar diffusion to the wall with a coefficient Da. Wewish to calculate the radial density profile.

a) Show that the shape of this profile is independent of density.b) Show that the profile has the shape n(r) = n0J0(kr), where J0 is a Bessel function of

order zero and n0 is the density on axis. Write a formula for k in terms of the symbolsgiven above.

c) (extra credit) The edge density n(a) is completely specified once nn, Te, and Ti (andhence k) are specified. But n has to be near 0 at the wall. How is this possible?

Hint 1: The equation of continuity in steady state is, /nu Q u D n n∇ ⋅ = = − ∇ ,

where Q is the density creation rate per unit volume.

Hint 2: The Laplacian in cylindrical coordinates is 2 2

22 2

1 f f ff rr r r zθ

∂ ∂ ∂ ∂ ∇ = + + ∂ ∂ ∂ ∂.

Hint 2: Bessel functions Jν(kx) satisfy the equation2 2

22 2

1 0J dJ k Jx dxx x

ν ∂+ + − = ∂

.

3. Write a short answer to each of the following questions.

a) An ICP plasma has Te = 2.5 eV, n = 6 × 1011 cm-3, p0 = 2 mTorr, and f = 6.78 MHz. What isthe collisionless skin depth in millimeters?

b) What is the Larmor radius of a 25-eV electron at 100G?

c) What is the acoustic velocity in a 4-eV (KTe) argon plasma?

d) Show that the feature size in present-day computer chips is much smaller than the Debyelength in a etching reactor.

e) Why is the mobility in a partially ionized plasma proportional to ne but the resistivity in afully ionized plasma is independent of ne?

Page 230: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

f) How would you increase the ion energy impinging on the wafer to 200 eV, say, in an ICP?

g) Name two ways to measure the ion temperature in a plasma.

h) What is the main feature that makes ECR and helicon reactors different from ICPs and RIEs?

i) What do ARDE and microloading mean?

j) Why are plasmas used to etch aluminum but not copper?

Page 231: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

CHEMICAL ENGINEERING DEPARTMENTChE 234, Spring, 1998

Midterm Exam No. 1Open book

May 7, 1998

1. A quartz vacuum chamber is filled with 10mTorr of Cl2 gas, which is completely dissociatedwhen a plasma is created. An RF field maintains a constant ionization fraction of 1% at anelectron temperature of 3.5 eV. Calculate the Debye length in this plasma.

2. Estimate the energy of ions bombarding the walls of the chamber in the previous problem.(Hint: use the Bohm sheath criterion, and add the acceleration in the normal sheath. Neglectany additional sheath drop due to the RF oscillations. )

3. Calculate the ratio of plasma frequency to electron cyclotron frequency in a plasma in a 1.5 Tmagnetic field if the plasma density is 5 × 1018 m-3.

Additional questions for graduate students

4. A Lam TCP etcher operates at 13.56 MHz and produces a plasma density of 8 × 1011 cm-3 inthe vicinity of the antenna. About how far does the RF field penetrate into the plasma?

5. If the charge-exchange cross section for Ar+ on Ar is 8 × 10-15 cm2 when KTi = 0.1 eV, howlarge, approximately, is the ambipolar diffusion coefficient in a 20-mTorr argon dischargewith KTi = 0.1 eV and KTe = 2 eV?

Page 232: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Sample homework and exam problemsPart B: Plasma chemistry

Disclaimer: These homework assignments and examsare taken directly from our files without editing orcorrections. We take no responsibility for their suitabilityfor use by others. Professors teaching a course usingthese notes as a textbook may obtain answers to theproblems from the authors if they agree not to allowcirculation of the answer sheets among the students. Arequest should also give the title, date, and frequency ofthe course offering and the expected enrollment.

Page 233: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Chemical Engineering

Principles of Plasma ProcessingUCLA, Winter 2000

Due: January 18, 2000

1. The probability of finding a molecule with a particular speed in a low pressure gas follows the Maxwell-Boltzmann Distribution function:

=

Tkmuu

Tkmup

BB 2exp

24)(

22

23

ππ

Show that:

(1) the average speed of the gas molecule is: ∫∞

==o

B

mTkduuupu

π8)(

__

(2) the root-mean-squared speed of the gas molecules is: m

Tkduupuu B

orms

3)(

21

2 =

= ∫

(3) the most probable speed of the gas molecules is: m

Tku Bmp

2=

2. Determine the gas flow regimes and calculate the mean free paths of the oxygen gas molecules at (1) 1mtorr, 300K and (2) 20 torr, 600K. Assume the characteristic length of the process chamber is 10 cm.

Page 234: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Chemical EngineeringPrinciples of Plasma Processing

UCLA, Spring 2002

Due: April 18, 2002

1. The probability of finding a molecule with a particular speed in a low pressure gas follows the Maxwell-Boltzmann Distribution function:

=

Tkmvv

Tkmvp

BB 2exp

24)(

22

23

ππ

Show that:

(4) the average speed of the gas molecule is: ∫∞

==o

B

mTkdvvvpv

π8)(

__.

(5) the average one way particle flux is: 4vn

=Γ .

(6) the ideal gas law is p=nkBT.

2. Determine the gas flow regimes and calculate the mean free paths of the silane gas molecules at (1) 2mtorr, 300K and (2) 15 torr, 600K. Assume the characteristic length of the process chamber is 10 cm.

3. A gas flow of 20 sccm is introduced into a plasma chamber through a 5 m long circular tubewhich is ½ in diameter. The chamber is pumped by a turbo pump with a pumping speed of400l/s, which is backed by a roughing pump with a pumping speed of 200 l/s. A throttle valveis installed to control the pressure inside the system. The conductance of the throttle valve asa function of its opening is shown below. Assume that the gas has the flow characteristics ofair. Calculate the upper limit of the pressure by assuming that the flow is in the rarefiedregime. Find out the pressure response as the opening of the throttle valve.

0

10

20

30

40

50

0 10 20 30 40 50 60 70 80 90 100% opening

F (L

/s)

Page 235: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Chemical Engineering

Principles of Plasma ProcessingUCLA, Winter 2000

Due: February 3, 2000

1. To use optical spectroscopy to determine the plasma chemistry, you need to determine thenumber of photons at various wavelengths accurately. Assume that you have a plasma in thatthe electron and neutral density are 2x1011 cm-3 and 2x1013 cm-3, respectively, with theelectron temperature at 3 eV. Use the model shown below to describe the most importanttransisions, where Eg, E1, E2, and Ei are ground state, excited state 1, excited state 2, andionized state, C1, and C2 are rate of collisional excitation from the ground state (cross-sectionof both processes are shown below), and R1g=5x108 s-1, R2g=5x107 s-1, and R21=5x107 s-1 arerates of spontaneous emission. Calculate the number of photons and their wavelength usinga plasma volume of 100cm3.

2. Determine the ground state levels for Al, Si, P. S. Cl. And Ar. Show how each of the termsused in the spectroscopic terms of atoms are determined.

3. Determine the rate constant for the reaction: e+O(1D)!O(3P)+e, using the data in Table 8.1 and 8.2. Compare your result to that given in Table 8.2.

12 25

5x10-15 cm2σ1

eV 15 25

1x10-15 cm2σ2

eV

Eg

E1

E2

Ei

C1

C2

R2g R1g

R21

Page 236: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Chemical EngineeringPrinciples of Plasma Processing

UCLA, Spring 2002

Due: April 30, 2002

1. The probability of finding a molecule with a particular speed in a low pressure gas follows the Maxwell-Boltzmann Distribution function:

=

Tkmvv

Tkmvp

BB 2exp

24)(

22

23

ππ

Show that:

(7) the average speed of the gas molecule is: ∫∞

==o

B

mTkdvvvpv

π8)(

__.

(8) the average one way particle flux is: 4vn

=Γ .

(9) the ideal gas law is p=nkBT.

2. Determine the gas flow regimes and calculate the mean free paths of the silane gas molecules at (1) 2mtorr, 300K and (2) 15 torr, 600K. Assume the characteristic length of the process chamber is 10 cm.

3. A gas flow of 20 sccm is introduced into a plasma chamber through a 5 m long circular tubewhich is ½ in diameter. The chamber is pumped by a turbo pump with a pumping speed of400l/s, which is backed by a roughing pump with a pumping speed of 200 l/s. A throttle valveis installed to control the pressure inside the system. The conductance of the throttle valve asa function of its opening is shown below. Assume that the gas has the flow characteristics ofair. Calculate the upper limit of the pressure by assuming that the flow is in the rarefiedregime. Find out the pressure response as the opening of the throttle valve.

0

10

20

30

40

50

0 10 20 30 40 50 60 70 80 90 100% opening

F (L

/s)

4. Determine the ground state levels for Al, Si, Cl, Ar, and Br. Show how each of the labelsused in the spectroscopic terms of atoms is determined.

5. Problem 3.9 in the textbook (Lieberman and Lichtenberg)

Page 237: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Chemical Engineering

Principles of Plasma ProcessingUCLA, Winter 2000

Due: February 24, 2000

2. Actinometry is widely used in aid the quantification of the optical emission spectra fromplasmas to determine the concentration of various excited species. You have developed analuminum etching process using chlorine gas at a pressure of 10mtorr. Your preliminaryexperimental results on a pure chlorine plasma indicate that you have a strong emission lineat 837.6 nm. What electronic transition state is this line corresponding to? Which noble gasand its corresponding emission line will you choose for actinometry and why? With 1 at.%of the noble gas of your choice mixed in your chlorine plasma, the integrated intensity ratioof the 837.6nm line to the line of your choice is 15:1. Determine the concentration ofchlorine atoms in your plasma.

If your chlorine plasma is very weakly dissociated, which wavelength(s) of light would youexpect to see with your OES setup? (list two dominant lines for Cl2)

2. Laser interferometry is used to quantify the etching of deposition rate during plasmaprocessing. You are in charge of etching of 1000nm thick un-doped polysilicon (n=3.88) onSiO2 (n=1.45) and use a 632.8nm He-Ne laser source to determine the etching rate. Pleasedetermine and plot the interferometry signals as a function of time when you etch (1) un-patterned polysilicon at a rate of 100nm/min, laser incident angle =50o to the normal (2)photoresist patterned polysilicon with the etching rates of photoresist and polysilicon at0nm/min and 100nm/min, respectively. Note the photoresist has an index of refraction of 1.6and the lines are 500nm thick, 500nm in width, and 500nm apart. Use normal incident anglein this case.

3. Problem 9.3 in LL.

Page 238: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Chemical Engineering

Principles of Plasma ProcessingUCLA, Spring 2002

Due: May 21 2002

1. Problem 8.1 in LL.

2. Problem 8. 6 in LL.

3. Use the energy diagram for O2, calculate the wavelength of the photon generated from de-excitation of b1Σg

+ O2 back to its ground state. Assuming that the overall rate of the plasmaashing process is limited by the following initiation process O2+e!O++O+2e, where therate expression is:

222)( OeeOO nnTkr =− . The generated oxygen atoms then diffuse to the

surface and dominate the reaction with the photoresist. Using a perfect continuous stirredtank reactor model for your plasma reactor, determine the expression for the photoresistashing rate at steady state, and sketch how the ashing rate changes with reactor volume,pressure and electron temperature.

4. Actinometry is widely used in aid the quantification of the optical emission spectra fromplasmas to determine the concentration of various excited species. You have developed analuminum etching process using chlorine gas at a pressure of 10mtorr. Your preliminaryexperimental results on a pure chlorine plasma indicate that you have a strong emission lineat 837.6 nm. What electronic transition state is this line corresponding to? Which noble gasand its corresponding emission line will you choose for actinometry and why? With 1 at.%of the noble gas of your choice mixed in your chlorine plasma, the integrated intensity ratioof the 837.6nm line to the line of your choice is 10:1. Determine the concentration ofchlorine atoms in your plasma. If your chlorine plasma is very weakly dissociated, whichwavelength(s) of light would you expect to see with your OES setup? (list two dominantlines for Cl2).

Page 239: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Chemical Engineering

Principles of Plasma ProcessingUCLA, Winter 2000

Due: March 14, 2000

1. Estimate the maximum etching rate (nm/min) for physical sputtering of silicon dioxide using600eV Ar+ (sputtering yield: γSiO2 (600eV Ar+) = 1.34). Assume that the ion density at thesheath edge is 1010 cm-3.

2. CF4 can be used to etch both silicon and silicon dioxide. For the same etch rates and plasmaconditions, SiO2 etching in CF4 plasma exhibits a smaller loading effect than silicon etching.Assume (a) the etching products are CO2 and SiF4 for SiO2 etching, (b) the etching products areC2F6 and SiF4 for silicon etching, (c) the recombination probability is zero on the walls, and (d)the reaction probability of the etchant is unity on the substrates. Show that for a fixed etchantgeneration rate and the same loading effect, the SiO2 etch area is four times the silicon etch area.

3. To understand Aspect Ratio Dependent Etching(ARDE), it is important to determine the depositionrate within a trench accurately. Assume an isotropicflux of precursors with a sticking coefficient of unityenters a trench of width w (x-dir.) and depth d (y-dir.) (both w and d are much greater than the meanfree path of the precursor collisions).

(a) Assume that dN is the number of precursor molecules incident on a differential width dyat a sidewall position y due to an isotropic flux emitted from a differential width dx at thetop of the trench. Show that dN is proportional to dxcosθx and dycosθy, but inverselyproportional to the distance r between the source and sidewall:

rdxdy

AdN yx θθ coscos= where

rx

x =θcos , ry

y =θcos , and A is a constant.

(b) Integrating the above equation from x=0 to x=w, and assume a uniform source at the top

of the trench. Show that dN/dy sθcos1−∝ , where 22

coswy

ys

+=θ . Note that θs is

the angle subtended by the trench opening as seen at the position y along the sidewall.

(c) Repeat the above mentioned procedure, and determine the non-uniform deposition ratedN/dx versus x at the bottom of the trench.

xy

d

w

θs

Page 240: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Chemical EngineeringPrinciples of Plasma Processing

UCLA, Winter 2000

Due: March 14, 2000

1. QMS?

2. Problem 9.4 in LL.

3. Estimate the maximum etching rate (nm/min) for physical sputtering of silicon dioxide using600eV Ar+ (sputtering yield: γSiO2 (600eV Ar+) = 1.34). Assume that the ion density at thesheath edge is 1010 cm-3.

4. CF4 can be used to etch both silicon and silicon dioxide. For the same etch rates and plasmaconditions, SiO2 etching in CF4 plasma exhibits a smaller loading effect than silicon etching.Assume (a) the etching products are CO2 and SiF4 for SiO2 etching, (b) the etching products areC2F6 and SiF4 for silicon etching, (c) the recombination probability is zero on the walls, and (d)the reaction probability of the etchant is unity on the substrates. Show that for a fixed etchantgeneration rate and the same loading effect, the SiO2 etch area is four times the silicon etch area.

5. To understand Aspect Ratio Dependent Etching(ARDE), it is important to determine the depositionrate within a trench accurately. Assume an isotropicflux of precursors with a sticking coefficient of unityenters a trench of width w (x-dir.) and depth d (y-dir.) (both w and d are much greater than the meanfree path of the precursor collisions).

(d) Assume that dN is the number of precursor molecules incident on a differential width dyat a sidewall position y due to an isotropic flux emitted from a differential width dx at thetop of the trench. Show that dN is proportional to dxcosθx and dycosθy, but inverselyproportional to the distance r between the source and sidewall:

rdxdy

AdN yx θθ coscos= where

rx

x =θcos , ry

y =θcos , and A is a constant.

(e) Integrating the above equation from x=0 to x=w, and assume a uniform source at the top

of the trench. Show that dN/dy sθcos1−∝ , where 22

coswy

ys

+=θ . Note that θs is

the angle subtended by the trench opening as seen at the position y along the sidewall.

Repeat the above mentioned procedure, and determine the non-uniformdeposition rate dN/dx versus x at the bottom of the trench.

xy

d

w

θs

Page 241: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Chemical EngineeringPrinciples of Plasma Processing

UCLA, Winter 2000

4:00-6:00pm, February 10, Thursday, 2000

CLOSED BOOK EXAMINATION

1. (a) You designed a plasma reactor as shown to the right foroxygen plasma ashing of photoresist. A pump with a pumpingspeed of 400 l/s is connected to the chamber via two sections of 1straight tubes each 10 in length, and a valve. Note that theconductivity for air in a circular tube with a length much greater than its

diameter in the rarefied flow regime is: l

DFtube

3

2.12= , and in the

continuum regime is: 2

)(128

214 ppl

DFtube+

π, and the valve has a

conductance of 50 l/s. To maintain a 0.5 mtorr pressure, at whatgas flow rate should you operate this system (in sccm unit)?Assume that the gas has the flow characteristics of air. What is themean free path of the plasma assuming 100% dissociationefficiency of oxygen and the reactor is a cube with a dimension of8 on each side?

(b) In fact oxygen plasma chemistry is quite complex where many reactions occur at varioustime scales. Using the energy diagram shown on the next page, (1) depict and explain theFranck-Condon principle, (2) depict and explain the dissociative ionization reaction, determinethe threshold energy for this reaction, and estimate the energy of dissociated species (note O-Obond strength is ~5eV), (3) calculate the wavelength of the photon generated from de-excitationof b1Σg

+ O2 back to its ground state.

(c) Assuming that the overall rate of the plasma ashing process is limited by the followinginitiation process O2+e!O++O+2e, where the rate expression is:

222)( OeeOO nnTkr =− . The

generated oxygen atoms then diffuse to the surface and dominate the reaction with thephotoresist. Using a perfect continuous stirred tank reactor model for your plasma reactor,determine the expression for the photoresist ashing rate at steady state, and sketch how theashing rate changes with reactor volume, pressure and electron temperature.

pump

valve

plasma

O2

pump

valve

plasma

O2

Page 242: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Chemical EngineeringPrinciples of Plasma Processing

UCLA, Spring 20024:00-6:00pm, May 2, Thursday, 2002

OPEN BOOK EXAMINATION

1. Please give a concise answer to the following questions:(a) Why is a Druyvesteyn distribution a better model for the electron energy distribution than

Maxwell-Boltzman distribution?(b) What are the differences between Coulomb scattering and polarization scattering?(c) What is multiplicity?(d) What are the characteristics of metastables?(e) Please identify the Ar metastable with the spectroscopic energy state designation and

estimate its ionization energy. (Hint: The ionization energy of Ar is 15.6 eV.)

2. For electron-neutral scattering in a 10 mTorr Ar at 25oC, assume an electron of 4 V isscattered by a fixed neutral (an elastic hard sphere at rest). Determine the mean free pathλ and collision frequency ν using a polarizability of the neutral as: αp = 11ao

3. (Pleaseindicate your assumptions clearly).

3. In a plasma, assume that the electron neutral density is 3x1011 cm-3 and the electronenergy distribution function is shown below. Use a simple model where the importantenergy states are E0, E1, E2, and Ei, representing the ground state, excited state 1, 2 andthe ionized state. The rate of collisional excitation from the ground state to the excitedstate 1 and 2 can be calculated from the cross-sections shown below. The rates ofspontaneous emission are A10=5x108 s-1, A20=1x108 s-1, A21=2x108 s-1. Find the ratio ofspecies at excited states 1 and 2.

15 25

4x10-15 cm2

σ1

eV

3x10-15 cm2

σ2

eV

E0

E1

E2

Ei

C1

C2

A20 A10

A21

10 15 20 25

0

0.1

0.2

0.3

0 5 10 15 20 25 30E (eV)

Electron energy distribution function

Page 243: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Chemical EngineeringPrinciples of Plasma Processing

UCLA, Winter 2000

3:00-6:00pm, March 22, Wednesday, 2000OPEN BOOK EXAMINATION

1. Multiple Choices and Short-Answer Questions (3 points each):(Multiple Choices): ½ point for each correct choice and -½ point for each wrong choice.

A. What are the selection rules for electron-dipole transition of atoms(a common pathway for de-excitation of excited species in a plasma)?

(a) Change in the orbital angular momentum ∆L = 0(b) Change in the orbital angular momentum ∆L = ±l(c) Change in the spin angular momentum ∆S = 0(d) Change in the spin angular momentum ∆S = ±l(e) Change in the total angular momentum state: ∆J = 0(f) Change in the total angular momentum state: ∆J = ± 1

B. What is a metastable in the plasma?

C. Name the major criteria for actinometry to work in quantifying the concentrations of plasmaspecies.

D. Why is low dielectric material needed for interconnection in microelectronic industry?(a) Reduce the resistivity(b) Increase the resistivity(c) Reduce the capacitance(d) Increase the capacitance(e) Reduce the crosstalk(f) Increase the crosstalk

E. You are in charge of etching of 500nm polysilicon (patterned) on 30 nm silicon dioxide. Tocompensate for RIE lag, overetching is required to clear all feature. However, oxide thicknessloss greater than 5 nm during the overetching process is NOT acceptable. You know the plasmauniformity varies 5% across the wafer, and the polysilicon film thickness varies 5% across thewafer. What is the minimal selectivity between polysilicon and oxide to ensure less than 5 nmoxide loss across the wafer?

(a) 10%(b) 20%(c) 30%(d) 40%

F. Explain the reasons for the formation of micro-grass during the etching process and suggesthow it can be eliminated.

Page 244: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

G. Ion energy and angular distributions are important to etching patterned features. Which of thefollowing etching peculiarities can be eliminated if the ion angular distribution is mono-directional (in the direction of surface normal)?

(a) Trenching

(b) Bowing

(c) Notching

(d) Tapering

(e) Grass

(f) RIE lag

H. Reactive Ion Etching lag (RIE lag) or aspect ratio dependent etching refers to the etching non-uniformity in plasma etching processes where the small features etch slower than large features.What are the causes for Inverse RIE lag?

I. Silicon is most widely used in the microelectronic industry. Circle the parameters that affectthe etching rate of silicon in a fluorine plasma.

(a) Substrate temperature(b) Silicon doping level(c) Ion incident angle(d) Recombination of fluorine atoms(e) Substrate bias(f) Plasma density

J. You are in charge of etching SiO2 using a CF4/O2 plasma, yet the etching anisotropy is poor.How could you enhance the etching anisotropy?

(a) Lower the pressure(b) Increase substrate bias(c) Increase the substrate temperature(d) Increase CF4 to O2 ratio(e) Add F2(f) Add C2F6

Page 245: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

2.(8 points) Surface reaction is a key element in all plasma processes, especially in plasmaetching. Various reactive species compete for available surface sites for adsorption, and sitesare regenerated upon desorption of etching products. Determine the following surface reactionrate as a function of all the rate constants, NA, and NR if (a) the surface reaction II is ratelimiting (b) the adsorption process III is rate limiting. Plot the reaction rate as a function of NAfor both cases.

A + * →1k A* (I)

A* →2k R* (II)

R* →3k R + * (III)

3.(a) (6 points) Oxygen is widely used for ashing photoresist in the microelectronics industryafter the photolithography process. Oxygen atoms are produced by electron impactdissociation, and the oxygen atoms are consumed in the polymer etching process as detailedbelow:

Polymer + O →k H2O + CO2; and the etching rate = k NO.

The oxygen atoms are also lost in the gas phase recombination reaction according to a firstorder reaction: klossNO. To quantify the etching rate of photoresist by a plasma reactor, wafersof radius R are spin-coated with a uniform photoresist thin film for etching. Assume the gasfeed rate is F (volume/time), the volume of the plasma reactor is V, the rate of generation ofoxygen atoms from O2 per unit volume is G, and there are M wafers in the reactor. Pleasemodel the plasma reactor as a continuous stirred tank reactor to determine the oxygen atomconcentration (Hint: In = Out + Generation - Consumption).

(b) (6 point) Two experiments were performed at 1 Torr where 20 wafers were loaded in thefirst experiment (with an average etching rate of 1µm/min) and no wafer was loaded in thesecond experiment. With the aid of OES, we determined that the atomic oxygen concentrationin the first experiment is 1/5 of the atomic oxygen concentration in the second experiment.From the model you just developed, estimate the etch rate of the photoresist when 50 wafers(M=50) are loaded in the reactor.

←−1k

←−3k

←−2k

Page 246: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Chemical EngineeringPrinciples of Plasma Processing

UCLA, Spring 2002

3:00-6:00pm, June 10, Monday, 2002OPEN BOOK EXAMINATION

1. Multiple Choices and Short-Answer Questions (4 points each):(Multiple Choices): 2/3 point for each correct choice and 2/3 point for each wrong choice.

1. What are the selection rules for optical transitions of molecules?" Change in the orbital angular momentum ∆Λ = 0, ±l" Change in the spin angular momentum ∆S = 0, ±l" Change in the vibrational state: ∆v = 0" Change in the vibrational state: ∆v = ± 1" Change in the rotational state: ∆J = 0" Change in the rotational state: ∆J = ± 1

2. Ion energy and angular distributions are important to etching patterned features. Which of thefollowing etching peculiarities can be eliminated if the ion angular distribution becomes mono-directional ?

" Tapering

" Grass

" Trenching

" Bowing

" Notching

" RIE lag

3. You are in charge of etching of 600nm polysilicon (patterned) on 10 nm silicon dioxide. Tocompensate for RIE lag, overetching is required to clear all feature. However, oxide thicknessloss greater than 2 nm during the overetching process is NOT acceptable. You know the plasmauniformity varies 2% across the wafer, and the polysilicon film thickness varies 3% across thewafer. What is the minimal selectivity between polysilicon and oxide to ensure less than 2 nmoxide loss across the wafer?

Page 247: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

" 10%" 20%" 30%" 40%" 50%" 60%

4. Why is low dielectric material needed for interconnection in microelectronic industry?" Reduce the resistivity" Increase the resistivity" Reduce the capacitance" Increase the capacitance" Reduce the crosstalk" Increase the crosstalk

5. Which of the following statement(s) in plasma etching is(are) true?" Spontaneous etching is always small and can be neglected" Physical sputtering yield scales linearly with the square root of ion energy up to MeV

range" Physical sputtering yield is independent of the ion incident angle" Ion enhanced etching is important because the synergism of ions and radicals enhances

the etching rates" Ion enhanced etching yield is independent of the ion incident angle" Deposition or re-deposition inside a feature is solely due to the etching of photoresist

material

6. You installed a pump with 200 l/s pumping speed for a plasma reactor of 900 cm3. When 50sccm O2 is fed into the reactor, what is the mean free path of neutral species if oxygen is fullydissociated in the discharge?

7. Why is the transition between parahelium and orthohelium prohibited?

8. Name the major criteria for actinometry to work in quantifying the concentrations of plasmaspecies. Why does mass spectrometry complements the optical emission analysis?

9. Reactive Ion Etching lag (RIE lag) or aspect ratio dependent etching refers to the etching non-uniformity in plasma etching processes where the small features etch slower than large features.What are the causes for Inverse RIE lag?

2. (15 points) The collision probabilities for electron in He and Ar, and for production ofnegative ions by electron impact in O2 are shown qualitatively below. Explain why eachcollision probability bears that particular dependency to the electron energy.

Page 248: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

Pc

Volts

He

Pc

Volts

Ar

Pc

O2

Volts

3. (12 points) In an oxygen plasma, the electron collisional de-excitation can lead to thequenching of an excited O* to a ground state O. You know the rate constant for the excitationprocess:

e + O (3P) ! O* (1D) + e , k1 = 4x10-9exp (-2.3/Te) cm3/s

Use detailed balancing to determine the rate constant for the de-excitation reaction:

e + O* (1D) ! O (3P) + e

Note, the ionization energy of O*(1D) and O(3P) are 11.6 eV and 13.6 eV, respectively.

4. (18 points) Oxygen is widely used for ashing photoresist in the microelectronics industry afterthe photolithography process. Using the energy diagram attached on the next page, (1) depict andexplain the Franck-Condon principle, (2) depict and explain the dissociative ionization reaction,determine the threshold energy for this reaction, and estimate the energy of dissociated species,

(3) Oxygen atoms are produced by electron impact dissociation, and the oxygen atoms areconsumed in the polymer etching process as detailed below:

Polymer + O →k H2O + CO2; and the etching rate = k NO.

The oxygen atoms are also lost in the gas phase recombination reaction according to a first orderreaction: klossNO. To quantify the etching rate of photoresist by a plasma reactor, wafers ofradius R are spin-coated with a uniform photoresist thin film for etching. Assume the gas feedrate is F (volume/time), the volume of the plasma reactor is V, the rate of generation of oxygenatoms from O2 per unit volume is G, and there are X wafers in the reactor. Please model theplasma reactor as a continuous stirred tank reactor to determine the oxygen atom concentration.

(4) Two experiments were performed at 100 mTorr where 5 wafers were loaded in the firstexperiment (with an average etching rate of 2µm/min) and no wafer was loaded in the secondexperiment. With the aid of OES, we determined that the atomic oxygen concentration in thefirst experiment is 1/10 of the atomic oxygen concentration in the second experiment. From themodel you just developed, estimate the etch rate of the photoresist when 50 wafers (X=50) areloaded in the reactor.

Page 249: Chen F. F., Chang J. P., Lecture Notes on Principles of Plasma Processing (Kluwer, s

5. (19 points) CF4 is widely used in etching Si and SiO2, and its gas chemistry is known to bequite complex. Consider only the following reactions in a CF4 plasma of ne=1010 cm-3 for Fatom generation, and assume the third body reactions in the high-pressure limit are second order(independent of the M concentration).

e + CF4 ! CF3 + F + e , k1 = 2x10-9exp(-13/Te) cm3/se + CF4 ! CF2 + 2F + e, k2 = 5x10-9exp(-13/Te) cm3/sF + CF3 +M ! CF4 + M, K3 = 2x10-11 cm3/sF + CF2 +M ! CF3 + M, K4 = 1x10-11 cm3/s

(1) Let )(4

3 TAn

nn

CF

CFF = and )(3

2 TBnn

CF

CF = , show A and B in terms of the rate constants and ne.

(2) If the initial concentration of CF4 is no, and all other species concentrations are zero at time =0, determine the equilibrium concentration of F atoms in terms of no, A, and B.

(3) If the silicon etching is due to F atomic flux only, propose a series of elementary reactionsleading to the etching of silicon.

(4) If the etching rate of silicon scales with the surface F concentration, nFS, in the followingform:

ESi (A/min) = 3x10-12 nFS T1/2 exp(-1250/T).Determine the silicon initial etching rate for a CF4 pressure of 1 Torr at 300K.