Upload
others
View
1
Download
0
Embed Size (px)
Citation preview
;This is a sample environment variable file containing the default
;values for environment variables in Design Framework II products.
;See the appropriate tool documentation regarding the use and
;choices for each variable.
;To customize your defaults, use this file as a template and place
;a modified version of this file in ../local/.cdsenv. This
;local version should typically reflect the values of your system
;defaults as set by your site coordinator. To specify more personal
;defaults, place a modified version of either this or the
;../local/.cdsenv file into your home directory as .cdsenv.
;Your ../local/.cdsenv file will be loaded first, followed
;by the .cdsenv in your home directory, so any values in ~/.cdsenv
;will override those previously loaded.
;Diva environment variables $Revision: 1.8 $
Diva.DRCiposbooleannil
Diva.DRCxposint0
Diva.DRCyposint0
Diva.DRCxrelcyclic"left"
Diva.DRCyrelcyclic"bottom"
Diva.DRCmethodcyclic"flat"
Diva.DRClimitscyclic"full"
Diva.DRCareastring""
Diva.DRCjoinbooleannil
Diva.DRCechobooleant
Diva.DRCswitchesstring""
Diva.DRCuseRsfbooleannil
Diva.DRCrsfstring""
Diva.DRCincludeint1000
Diva.DRCremotecyclic"local"
Diva.DRCmachinestring""
Diva.DRCrulesstring""
Diva.DRCuseLibbooleant
Diva.DRCrulesLibstring""
Diva.DRClimitRulebooleannil
Diva.DRCruleLimitint0
Diva.DRClimitRunbooleannil
Diva.DRCrunLimitint0
Diva.DRCuseEDBbooleannil
Diva.DRCnameEDBstring""
Diva.Extractiposbooleannil
Diva.Extractxposint0
Diva.Extractyposint0
Diva.Extractxrelcyclic"left"
Diva.Extractyrelcyclic"bottom"
Diva.Extractmethodcyclic"flat"
Diva.Extractjoinbooleannil
Diva.Extractechobooleant
Diva.Extractswitchesstring""
Diva.ExtractuseRsfbooleannil
Diva.Extractrsfstring""
Diva.Extractincludeint1000
Diva.Extractremotecyclic"local"
Diva.Extractmachinestring""
Diva.ExtractextViewstring"extracted"
Diva.ExtractexlViewstring"excell"
Diva.Extractrulesstring""
Diva.ExtractuseLibbooleant
Diva.ExtractrulesLibstring""
Diva.ExtractlimitRulebooleannil
Diva.ExtractruleLimitint0
Diva.ExtractlimitRunbooleannil
Diva.ExtractrunLimitint0
Diva.ExtractuseEDBbooleannil
Diva.ExtractnameEDBstring""
Diva.LVSxposint0
Diva.LVSyposint0
Diva.LVSxrelcyclic"left"
Diva.LVSyrelcyclic"bottom"
Diva.LVSrundirstring"LVS"
Diva.LVSswitchesstring""
Diva.LVSrulesstring""
Diva.LVSuseLibbooleannil
Diva.LVSrulesLibstring""
Diva.LVSrewirebooleant
Diva.LVSfixbooleannil
Diva.LVSxrefbooleannil
Diva.LVStermbooleant
Diva.LVStcorrbooleannil
Diva.LVScorrFilestring"lvs_corr_file"
Diva.LVSpriorityint0
Diva.LVSremotecyclic"local"
Diva.LVSmachinestring""
;UltraSim environment variables
;This file was generated by `asiCreateEnvFile()'
;FORMAT: tool.partition name type value private {choices, minmax} comment
;UltraSim opts partition variables
UltraSim.optsUsimPCADcpathCTstring"50u"
UltraSim.optsUsimRAAgeDomainstring"loglog"
UltraSim.optsrshortstring"1e-6"
UltraSim.optsUsimDCATimeIntervalsstring""
UltraSim.optsUsimDCAVgsustring""
UltraSim.optsUsimDCASubcktstring""
UltraSim.optsUsimPCAFanoutstring"All Selected Nodes (0)"
UltraSim.optsUsimUPStoggle(nil)
UltraSim.optssimSavebooleannil
UltraSim.optsUsimTAMaxPerTimestring""
UltraSim.optsUsimUPSWaveformFilestring""
UltraSim.optsabstolistring"1.00e-12"
UltraSim.optsUsimPAEnabledbooleannil
UltraSim.optsParasiticRCFile_DPFstring""
UltraSim.optsUsimNAOutputSortstring"name"
UltraSim.optsUsimPCAPreservestring"No"
UltraSim.optssimSaveFilestring""
UltraSim.optsUsimDCAVgbustring""
UltraSim.optsUsimDCAVdblstring""
UltraSim.optsUsimTASigNamesstring""
UltraSim.optsdcstring"Complete DC (1)"
UltraSim.optsUsimPNANodeNamestring""
UltraSim.optsotherstring""
UltraSim.optsUsimPADepthstring"1"
UltraSim.optssimRestartbooleannil
UltraSim.optsotherSpicestring""
UltraSim.optsParasiticRCFile_CAPFILEstring""
UltraSim.optsUsimDCAPreservestring"No"
UltraSim.optswf_tresstring"1e-12"
UltraSim.optsUsimPNAActtoggle(nil)
UltraSim.optsUsimPATimeIntervalsstring""
UltraSim.optsUsimDCAVsblstring""
UltraSim.optsUsimPCATimePointstring""
UltraSim.optsUsimRAModestring"HCI only"
UltraSim.optstempstring"27"
UltraSim.optsrcr_fmaxstring"1e9"
UltraSim.optssimTimePointsstring""
UltraSim.optsParasiticRCFile_SPEFstring""
UltraSim.optsUsimDCADurationTimestring""
UltraSim.optsUsimPCADurationTimestring"5n"
UltraSim.optsUsimPNASizetoggle(nil)
UltraSim.optsUsimTASigEdgeTypestring"rise"
UltraSim.optsUsimPCAPSubcktstring""
UltraSim.optsUsimRADeltaDTogglebooleant
UltraSim.optstolstring"0.01"
UltraSim.optsUsimTARefSigEdgeTypestring"rise"
UltraSim.optswf_abstolistring"1e-12"
UltraSim.optswf_reltolstring""
UltraSim.optswf_formatstring"SST2"
UltraSim.optsUsimDCAVdbustring""
UltraSim.optsParasiticRCFile_SPFstring""
UltraSim.optsUsimRAAgeMethodstring"interp"
UltraSim.optsvrInstanceNamesstring""
UltraSim.optsUsimTASigLowThresholdstring""
UltraSim.optsUsimNAStopstring""
UltraSim.optsUsimTAMinLowTimestring""
UltraSim.optsUsimTAEnabledbooleannil
UltraSim.optspn_max_resstring""
UltraSim.optsUsimNAtoggle(nil)
UltraSim.optsUsimPCATimeCheckedstring"period"
UltraSim.optspostlstring"No RCR (0)"
UltraSim.optsUsimPCAtoggle(nil)
UltraSim.optsabstolvstring"1.00e-06"
UltraSim.optsUsimDCAVgdustring""
UltraSim.optsUsimDCAInststring""
UltraSim.optsUsimDCAVgdlstring""
UltraSim.optsUsimNAStartstring""
UltraSim.optsdiode_methodstring"Analog Table (A)"
UltraSim.optsUsimUPSOutputNodestring""
UltraSim.optsUsimRAAgeprocstring""
UltraSim.optsUsimTAMinHighTimestring""
UltraSim.optsUsimPCAElementsstring""
UltraSim.optsUsimOptMethodbooleannil
UltraSim.optsUsimPAtoggle(nil)
UltraSim.optsUsimDCAReportTitlestring""
UltraSim.optsUsimRAMinAgefloat0.0
UltraSim.optsUsimTAMaxHighTimestring""
UltraSim.optsUsimTAtoggle(nil)
UltraSim.optstnomstring"27"
UltraSim.optsUsimUPSPowerNodesstring""
UltraSim.optswf_abstolvstring"1e-6"
UltraSim.optsUsimDCAVgslstring""
UltraSim.optsUsimPCASubcktCheckedstring""
UltraSim.optsUsimRADeltaDfloat0.1
UltraSim.optssimLoadFilestring""
UltraSim.optsUsimPCAReportTitlestring""
UltraSim.optsUsimPNAElemThresholdstring"10"
UltraSim.optsUsimDCAEnabledbooleannil
UltraSim.optsUsimPALimitstring""
UltraSim.optsUsimTARefSigstring""
UltraSim.optsvrNodeNamesstring""
UltraSim.optsmos_methodstring"Analog/MS Table (A)"
UltraSim.optsUsimUPSIrPeakThresholdstring""
UltraSim.optsUsimUPSIrRmsThresholdstring""
UltraSim.optsUsimDCAProbestring"0"
UltraSim.optsUsimRANBTIAgeprocstring""
UltraSim.optsUsimTANegWindowstring""
UltraSim.optsUsimTARefSigHighThresholdstring""
UltraSim.optswf_filterbooleant
UltraSim.optsUsimTASigHighThresholdstring""
UltraSim.optsUsimUPSAllWaveformstring"Voltage for Tap Points"
UltraSim.optsUsimTAMinPerTimestring""
UltraSim.optsUsimTAStopstring""
UltraSim.optsUsimTAReportTitlestring""
UltraSim.optsUsimPCAExiCTstring"10u"
UltraSim.optsUsimPCASubcktExcludedstring""
UltraSim.optsUsimPNAConntoggle(nil)
UltraSim.optsdump_stepstring""
UltraSim.optsUsimUPSSpeedstring"Aggressive (3)"
UltraSim.optsUsimDCAVdsustring""
UltraSim.optsUsimPCAEnabledbooleannil
UltraSim.optsspeedstring"Default (5)"
UltraSim.optsdcutbooleannil
UltraSim.optsUsimPAPortstring""
UltraSim.optsUsimTASubcktNamestring""
UltraSim.optsskip_subcktstring""
UltraSim.optsUsimPCAZNodesstring""
UltraSim.optsUsimTAStartstring""
UltraSim.optsUsimDCAVgblstring""
UltraSim.optsUsimPNANodetoggle(nil)
UltraSim.optspn_methodstring"short"
UltraSim.optsUsimPNAtoggle(nil)
UltraSim.optsUsimNALimitstring""
UltraSim.optsUsimDCADisplaySettingstring""
UltraSim.optsUsimPCACheckTypestring"Over Current"
UltraSim.optsUsimRAAgingTimestring"10y"
UltraSim.optsscalestring"1.0"
UltraSim.optsrvshortstring"1e-6"
UltraSim.optsUsimPANamestring""
UltraSim.optsUsimPCATimeIntervalsstring""
UltraSim.optsanalogstring"Default (1)"
UltraSim.optsUsimTAMaxLowTimestring""
UltraSim.optsUsimPAOutputSortstring"avg"
UltraSim.optsUsimPAPowerbooleannil
UltraSim.optsskip_subckt_instsstring""
UltraSim.optsUsimTASetupTimestring""
UltraSim.optsUsimDCAVdslstring""
UltraSim.optslshortstring"0"
UltraSim.optsdcut_fieldstring""
UltraSim.optsUsimDCAVsbustring""
UltraSim.optsUsimTATriggerstring"both"
UltraSim.optsUsimOptMethodHierbooleannil
UltraSim.optsUsimPCAVSNodesstring""
UltraSim.optssimRepeatstring""
UltraSim.optsUsimTADisplaySettingstring""
UltraSim.optssim_modestring"Mixed Signal (MS)"
UltraSim.optscgndrstring"0"
UltraSim.optscgndstring"1e-20"
UltraSim.optsUsimDCAModelstring""
UltraSim.optsUsimRAtoggle(nil)
UltraSim.optsUsimUPSIrDropIterationstring"1"
UltraSim.optsUsimTARefSigLowThresholdstring""
UltraSim.optsUsimTACheckTypestring"setup"
UltraSim.optsskipbooleannil
UltraSim.optsUsimPCAZXSubcktstring""
UltraSim.optsUsimUPSIrAvgThresholdstring""
UltraSim.optsUsimNASortIsstring"inc"
UltraSim.optsUsimPCADisplaySettingstring""
UltraSim.optsscalemstring"1.0"
UltraSim.optsvrCellNamesstring""
UltraSim.optsUsimNANodeNamesstring""
UltraSim.optsUsimPADisplaySettingstring""
UltraSim.optsUsimTADepthstring""
UltraSim.optsUsimDCAtoggle(nil)
UltraSim.optslvshortstring"0"
;UltraSim outputs partition variables
UltraSim.outputsUsimOptionLogicSubckt3string""
UltraSim.outputsUsimOptionLogicExclude3string""
UltraSim.outputsUsimOptionLogicDepth3string"1"
UltraSim.outputsUsimOptionLogicExclude2string""
UltraSim.outputsUsimOptionProbeAnalogbooleannil
UltraSim.outputsUsimOptionLogicLowThresholdstring""
UltraSim.outputsUsimOptionLogicSubckt2string""
UltraSim.outputsUsimOptionLogicIncludeRCstring""
UltraSim.outputsUsimOptionLogicHighThresholdstring""
UltraSim.outputsUsimOptionLogicExclude5string""
UltraSim.outputsUsimOptionLogicSubcktstring""
UltraSim.outputsUsimOptionSubcktstring""
UltraSim.outputsUsimOptionExcludestring""
UltraSim.outputsUsimElementInfobooleannil
UltraSim.outputsUsimOptionLogicSubckt5string""
UltraSim.outputsUsimOptionLogicDepth4string"1"
UltraSim.outputsUsimOptionAllAnalogTCbooleannil
UltraSim.outputsUsimOptionLogicIncludeRC4string""
UltraSim.outputsUsimOptionLogicDepthstring"1"
UltraSim.outputsUsimOptionLogicLowThreshold2string""
UltraSim.outputsUsimOptionLogicIncludeRC2string""
UltraSim.outputsUsimOptionNumberVolThresholdstring"1"
UltraSim.outputsUsimOptionLogicSubckt4string""
UltraSim.outputsUsimOptionLogicHighThreshold4string""
UltraSim.outputsUsimOptionAllAnalogNVbooleannil
UltraSim.outputsUsimOptionLogicLowThreshold5string""
UltraSim.outputsUsimOptionLogicExclude4string""
UltraSim.outputsUsimOptionIncludeRCstring""
UltraSim.outputsUsimOptionDepthstring"1"
UltraSim.outputsUsimModelParamInfobooleannil
UltraSim.outputsUsimOptionLogicExcludestring""
UltraSim.outputsUsimOptionLogicHighThreshold2string""
UltraSim.outputsUsimOptionLogicIncludeRC5string""
UltraSim.outputsUsimOptionLogicIncludeRC3string""
UltraSim.outputsUsimOptionLogicHighThreshold3string""
UltraSim.outputsUsimOptionLogicDepth5string"1"
UltraSim.outputsUsimOptionLogicLowThreshold3string""
UltraSim.outputsUsimOptionLogicLowThreshold4string""
UltraSim.outputsUsimOptionProbeLogicbooleannil
UltraSim.outputsUsimOptionLogicHighThreshold5string""
UltraSim.outputsUsimOptionLogicDepth2string"1"
UltraSim.outputsUsimOutputParamInfobooleannil
;UltraSim envOpts partition variables
UltraSim.envOptsstopViewListstring"spectre"
UltraSim.envOptsrunModestring"Batch"
UltraSim.envOptsswitchViewListstring"spectre cmos_sch cmos.sch schematic veriloga"
UltraSim.envOptsnetlistFormatstring"spectre"
UltraSim.envOptsautoDisplaybooleant
UltraSim.envOptsinteractiveCtrFilestring""
UltraSim.envOptsprintCommentsbooleannil
UltraSim.envOptsuserCmdLineOptionstring""
;UltraSim init partition variables
UltraSim.initprocessPriorityint0
;UltraSim tran partition variables
UltraSim.tranenabletoggle(nil)
UltraSim.transtopstring""
UltraSim.transaveOPbooleannil
;UltraSim tranOpts partition variables
UltraSim.tranOptsstartstring""
UltraSim.tranOptsoutputstartstring""
UltraSim.tranOptsstepstring"1e-9"
UltraSim.tranOptsreadicstring""
UltraSim.tranOptsreadnsstring""
UltraSim.tranOptswritestring""
UltraSim.tranOptswritefinalstring""
UltraSim.tranOptsmethodstring"euler"
UltraSim.tranOptsskipstartstring""
UltraSim.tranOptsskipstopstring""
UltraSim.tranOptsstrobeperiodstring""
UltraSim.tranOptsstrobedelaystring""
UltraSim.tranOptsinfotimesstring""
UltraSim.tranOptsmaxstep_windowstring""
UltraSim.tranOptsmaxstepUstring""
UltraSim.tranOptsmax_startstring""
UltraSim.tranOptsmax_stopstring""
UltraSim.tranOptsmax_subcktstring""
;UltraSim envlp partition variables
UltraSim.envlpenabletoggle(nil)
UltraSim.envlpstopstring""
UltraSim.envlpenv_clockffloat0.0
UltraSim.envlpenv_nsamplesint8
UltraSim.envlpenv_maxnstepint10
UltraSim.envlpenv_speedstring"4"
UltraSim.envlpenv_tstartstring""
UltraSim.envlpenv_tstopstring""
UltraSim.envlpenv_tolfloat0.1
UltraSim.envlpenv_trtolint10
UltraSim.envlpenv_forderint-1
UltraSim.envlpenv_harmsint1
UltraSim.envlpacprWizardInfostring""
;UltraSim envlpOpts partition variables
UltraSim.envlpOptsstartstring""
UltraSim.envlpOptsoutputstartstring""
UltraSim.envlpOptsstepstring"1e-9"
UltraSim.envlpOptsreadicstring""
UltraSim.envlpOptsreadnsstring""
UltraSim.envlpOptswritestring""
UltraSim.envlpOptswritefinalstring""
UltraSim.envlpOptsmethodstring"euler"
UltraSim.envlpOptsskipstartstring""
UltraSim.envlpOptsskipstopstring""
UltraSim.envlpOptsstrobeperiodstring""
UltraSim.envlpOptsstrobedelaystring""
UltraSim.envlpOptsinfotimesstring""
UltraSim.envlpOptsmaxstep_windowstring""
UltraSim.envlpOptsmaxstepUstring""
UltraSim.envlpOptsmax_startstring""
UltraSim.envlpOptsmax_stopstring""
UltraSim.envlpOptsmax_subcktstring""
;UltraSimVerilog environment variables
;This file was generated by `asiCreateEnvFile()'
;FORMAT: tool.partition name type value private {choices, minmax} comment
;UltraSimVerilog opts partition variables
UltraSimVerilog.optsUsimPCADcpathCTstring"50u"
UltraSimVerilog.optsrshortstring"1e-6"
UltraSimVerilog.optsUsimRAAgeDomainstring"loglog"
UltraSimVerilog.optsUsimUPStoggle(nil)
UltraSimVerilog.optsUsimPCAFanoutstring"All Selected Nodes (0)"
UltraSimVerilog.optsUsimDCASubcktstring""
UltraSimVerilog.optsUsimDCAVgsustring""
UltraSimVerilog.optsUsimDCATimeIntervalsstring""
UltraSimVerilog.optssimSavebooleannil
UltraSimVerilog.optsabstolistring"1.00e-12"
UltraSimVerilog.optsUsimUPSWaveformFilestring""
UltraSimVerilog.optsUsimTAMaxPerTimestring""
UltraSimVerilog.optsParasiticRCFile_DPFstring""
UltraSimVerilog.optsUsimPAEnabledbooleannil
UltraSimVerilog.optsUsimNAOutputSortstring"name"
UltraSimVerilog.optssimSaveFilestring""
UltraSimVerilog.optsUsimPCAPreservestring"No"
UltraSimVerilog.optsUsimDCAVgbustring""
UltraSimVerilog.optsUsimTASigNamesstring""
UltraSimVerilog.optsUsimDCAVdblstring""
UltraSimVerilog.optsdcstring"Complete DC (1)"
UltraSimVerilog.optsUsimPNANodeNamestring""
UltraSimVerilog.optsotherstring""
UltraSimVerilog.optsUsimPADepthstring"1"
UltraSimVerilog.optssimRestartbooleannil
UltraSimVerilog.optsotherSpicestring""
UltraSimVerilog.optsParasiticRCFile_CAPFILEstring""
UltraSimVerilog.optsUsimDCAPreservestring"No"
UltraSimVerilog.optswf_tresstring"1e-12"
UltraSimVerilog.optsUsimPNAActtoggle(nil)
UltraSimVerilog.optsUsimPATimeIntervalsstring""
UltraSimVerilog.optsUsimDCAVsblstring""
UltraSimVerilog.optsUsimPCATimePointstring""
UltraSimVerilog.optsUsimRAModestring"HCI only"
UltraSimVerilog.optstempstring"27"
UltraSimVerilog.optsrcr_fmaxstring"1e9"
UltraSimVerilog.optssimTimePointsstring""
UltraSimVerilog.optsParasiticRCFile_SPEFstring""
UltraSimVerilog.optsUsimDCADurationTimestring""
UltraSimVerilog.optsUsimPCADurationTimestring"5n"
UltraSimVerilog.optsUsimPNASizetoggle(nil)
UltraSimVerilog.optsUsimTASigEdgeTypestring"rise"
UltraSimVerilog.optsUsimPCAPSubcktstring""
UltraSimVerilog.optsUsimRADeltaDTogglebooleant
UltraSimVerilog.optstolstring"0.01"
UltraSimVerilog.optsUsimTARefSigEdgeTypestring"rise"
UltraSimVerilog.optswf_abstolistring"1e-12"
UltraSimVerilog.optswf_reltolstring""
UltraSimVerilog.optswf_formatstring"SST2"
UltraSimVerilog.optsUsimDCAVdbustring""
UltraSimVerilog.optsParasiticRCFile_SPFstring""
UltraSimVerilog.optsUsimRAAgeMethodstring"interp"
UltraSimVerilog.optsvrInstanceNamesstring""
UltraSimVerilog.optsUsimTASigLowThresholdstring""
UltraSimVerilog.optsUsimNAStopstring""
UltraSimVerilog.optsUsimTAMinLowTimestring""
UltraSimVerilog.optsUsimTAEnabledbooleannil
UltraSimVerilog.optspn_max_resstring""
UltraSimVerilog.optsUsimNAtoggle(nil)
UltraSimVerilog.optsUsimPCATimeCheckedstring"period"
UltraSimVerilog.optspostlstring"No RCR (0)"
UltraSimVerilog.optsUsimPCAtoggle(nil)
UltraSimVerilog.optsabstolvstring"1.00e-06"
UltraSimVerilog.optsUsimDCAVgdustring""
UltraSimVerilog.optsUsimDCAInststring""
UltraSimVerilog.optsUsimDCAVgdlstring""
UltraSimVerilog.optsUsimNAStartstring""
UltraSimVerilog.optsdiode_methodstring"Analog Table (A)"
UltraSimVerilog.optsUsimUPSOutputNodestring""
UltraSimVerilog.optsUsimRAAgeprocstring""
UltraSimVerilog.optsUsimTAMinHighTimestring""
UltraSimVerilog.optsUsimPCAElementsstring""
UltraSimVerilog.optsUsimOptMethodbooleannil
UltraSimVerilog.optsUsimPAtoggle(nil)
UltraSimVerilog.optsUsimDCAReportTitlestring""
UltraSimVerilog.optsUsimRAMinAgefloat0.0
UltraSimVerilog.optsUsimTAMaxHighTimestring""
UltraSimVerilog.optsUsimTAtoggle(nil)
UltraSimVerilog.optstnomstring"27"
UltraSimVerilog.optsUsimUPSPowerNodesstring""
UltraSimVerilog.optswf_abstolvstring"1e-6"
UltraSimVerilog.optsUsimPCASubcktCheckedstring""
UltraSimVerilog.optsUsimDCAVgslstring""
UltraSimVerilog.optssimLoadFilestring""
UltraSimVerilog.optsUsimRADeltaDfloat0.1
UltraSimVerilog.optsUsimPCAReportTitlestring""
UltraSimVerilog.optsUsimPNAElemThresholdstring"10"
UltraSimVerilog.optsUsimDCAEnabledbooleannil
UltraSimVerilog.optsUsimTARefSigstring""
UltraSimVerilog.optsUsimPALimitstring""
UltraSimVerilog.optsvrNodeNamesstring""
UltraSimVerilog.optsmos_methodstring"Analog/MS Table (A)"
UltraSimVerilog.optsUsimUPSIrRmsThresholdstring""
UltraSimVerilog.optsUsimUPSIrPeakThresholdstring""
UltraSimVerilog.optswf_filterbooleant
UltraSimVerilog.optsUsimTARefSigHighThresholdstring""
UltraSimVerilog.optsUsimTANegWindowstring""
UltraSimVerilog.optsUsimRANBTIAgeprocstring""
UltraSimVerilog.optsUsimDCAProbestring"0"
UltraSimVerilog.optsUsimUPSAllWaveformstring"Voltage for Tap Points"
UltraSimVerilog.optsUsimTASigHighThresholdstring""
UltraSimVerilog.optsUsimTAStopstring""
UltraSimVerilog.optsUsimTAMinPerTimestring""
UltraSimVerilog.optsUsimTAReportTitlestring""
UltraSimVerilog.optsUsimPCAExiCTstring"10u"
UltraSimVerilog.optsUsimUPSSpeedstring"Aggressive (3)"
UltraSimVerilog.optsdump_stepstring""
UltraSimVerilog.optsUsimPNAConntoggle(nil)
UltraSimVerilog.optsUsimPCASubcktExcludedstring""
UltraSimVerilog.optsUsimDCAVdsustring""
UltraSimVerilog.optsspeedstring"Default (5)"
UltraSimVerilog.optsUsimPCAEnabledbooleannil
UltraSimVerilog.optsdcutbooleannil
UltraSimVerilog.optsUsimPAPortstring""
UltraSimVerilog.optsskip_subcktstring""
UltraSimVerilog.optsUsimTASubcktNamestring""
UltraSimVerilog.optsUsimPCAZNodesstring""
UltraSimVerilog.optsUsimTAStartstring""
UltraSimVerilog.optspn_methodstring"short"
UltraSimVerilog.optsUsimPNANodetoggle(nil)
UltraSimVerilog.optsUsimDCAVgblstring""
UltraSimVerilog.optsUsimPNAtoggle(nil)
UltraSimVerilog.optsUsimNALimitstring""
UltraSimVerilog.optsscalestring"1.0"
UltraSimVerilog.optsUsimRAAgingTimestring"10y"
UltraSimVerilog.optsUsimPCACheckTypestring"Over Current"
UltraSimVerilog.optsUsimDCADisplaySettingstring""
UltraSimVerilog.optsrvshortstring"1e-6"
UltraSimVerilog.optsUsimPANamestring""
UltraSimVerilog.optsanalogstring"Default (1)"
UltraSimVerilog.optsUsimPCATimeIntervalsstring""
UltraSimVerilog.optsUsimTAMaxLowTimestring""
UltraSimVerilog.optsUsimPAOutputSortstring"avg"
UltraSimVerilog.optsskip_subckt_instsstring""
UltraSimVerilog.optsUsimPAPowerbooleannil
UltraSimVerilog.optsUsimTASetupTimestring""
UltraSimVerilog.optsdcut_fieldstring""
UltraSimVerilog.optslshortstring"0"
UltraSimVerilog.optsUsimDCAVdslstring""
UltraSimVerilog.optsUsimTATriggerstring"both"
UltraSimVerilog.optsUsimDCAVsbustring""
UltraSimVerilog.optsUsimOptMethodHierbooleannil
UltraSimVerilog.optssimRepeatstring""
UltraSimVerilog.optsUsimPCAVSNodesstring""
UltraSimVerilog.optscgndrstring"0"
UltraSimVerilog.optssim_modestring"Mixed Signal (MS)"
UltraSimVerilog.optsUsimTADisplaySettingstring""
UltraSimVerilog.optscgndstring"1e-20"
UltraSimVerilog.optsUsimUPSIrDropIterationstring"1"
UltraSimVerilog.optsUsimRAtoggle(nil)
UltraSimVerilog.optsUsimDCAModelstring""
UltraSimVerilog.optsUsimTARefSigLowThresholdstring""
UltraSimVerilog.optsskipbooleannil
UltraSimVerilog.optsUsimTACheckTypestring"setup"
UltraSimVerilog.optsUsimPCAZXSubcktstring""
UltraSimVerilog.optsUsimUPSIrAvgThresholdstring""
UltraSimVerilog.optsUsimNASortIsstring"inc"
UltraSimVerilog.optsvrCellNamesstring""
UltraSimVerilog.optsscalemstring"1.0"
UltraSimVerilog.optsUsimPCADisplaySettingstring""
UltraSimVerilog.optsUsimTADepthstring""
UltraSimVerilog.optsUsimPADisplaySettingstring""
UltraSimVerilog.optsUsimNANodeNamesstring""
UltraSimVerilog.optsUsimDCAtoggle(nil)
UltraSimVerilog.optslvshortstring"0"
;UltraSimVerilog outputs partition variables
UltraSimVerilog.outputsUsimOptionLogicSubckt3string""
UltraSimVerilog.outputsUsimOptionLogicExclude3string""
UltraSimVerilog.outputsUsimOptionLogicDepth3string"1"
UltraSimVerilog.outputsUsimOptionLogicExclude2string""
UltraSimVerilog.outputsUsimOptionProbeAnalogbooleannil
UltraSimVerilog.outputsUsimOptionLogicLowThresholdstring""
UltraSimVerilog.outputsUsimOptionLogicSubckt2string""
UltraSimVerilog.outputsUsimOptionLogicIncludeRCstring""
UltraSimVerilog.outputsUsimOptionLogicHighThresholdstring""
UltraSimVerilog.outputsUsimOptionLogicExclude5string""
UltraSimVerilog.outputsUsimElementInfobooleannil
UltraSimVerilog.outputsUsimOptionLogicSubcktstring""
UltraSimVerilog.outputsUsimOptionSubcktstring""
UltraSimVerilog.outputsUsimOptionExcludestring""
UltraSimVerilog.outputsUsimOptionAllAnalogTCbooleannil
UltraSimVerilog.outputsUsimOptionLogicDepth4string"1"
UltraSimVerilog.outputsUsimOptionLogicSubckt5string""
UltraSimVerilog.outputsUsimOptionLogicIncludeRC4string""
UltraSimVerilog.outputsUsimOptionLogicDepthstring"1"
UltraSimVerilog.outputsUsimOptionLogicLowThreshold2string""
UltraSimVerilog.outputsUsimOptionNumberVolThresholdstring"1"
UltraSimVerilog.outputsUsimOptionLogicIncludeRC2string""
UltraSimVerilog.outputsUsimOptionAllAnalogNVbooleannil
UltraSimVerilog.outputsUsimOptionLogicHighThreshold4string""
UltraSimVerilog.outputsUsimOptionLogicSubckt4string""
UltraSimVerilog.outputsUsimModelParamInfobooleannil
UltraSimVerilog.outputsUsimOptionDepthstring"1"
UltraSimVerilog.outputsUsimOptionIncludeRCstring""
UltraSimVerilog.outputsUsimOptionLogicExclude4string""
UltraSimVerilog.outputsUsimOptionLogicLowThreshold5string""
UltraSimVerilog.outputsallDigitalNVbooleant
UltraSimVerilog.outputsUsimOptionLogicExcludestring""
UltraSimVerilog.outputsUsimOptionLogicHighThreshold2string""
UltraSimVerilog.outputsUsimOptionLogicHighThreshold3string""
UltraSimVerilog.outputsUsimOptionLogicIncludeRC3string""
UltraSimVerilog.outputsUsimOptionLogicIncludeRC5string""
UltraSimVerilog.outputsUsimOptionLogicLowThreshold3string""
UltraSimVerilog.outputsUsimOptionLogicDepth5string"1"
UltraSimVerilog.outputsUsimOptionProbeLogicbooleannil
UltraSimVerilog.outputsUsimOptionLogicLowThreshold4string""
UltraSimVerilog.outputsUsimOutputParamInfobooleannil
UltraSimVerilog.outputsUsimOptionLogicDepth2string"1"
UltraSimVerilog.outputsUsimOptionLogicHighThreshold5string""
;UltraSimVerilog verimixOpts partition variables
UltraSimVerilog.verimixOptsfilename6string""
UltraSimVerilog.verimixOptsmaxDCIterint0
UltraSimVerilog.verimixOptsscope1string""
UltraSimVerilog.verimixOptsscope10string""
UltraSimVerilog.verimixOptsfilename8string""
UltraSimVerilog.verimixOptsdcIntervalfloat0.0
UltraSimVerilog.verimixOptsscope3string""
UltraSimVerilog.verimixOptsscope8string""
UltraSimVerilog.verimixOptsscope7string""
UltraSimVerilog.verimixOptsfilename7string""
UltraSimVerilog.verimixOptsscopestring""
UltraSimVerilog.verimixOptsfilename4string""
UltraSimVerilog.verimixOptsfilenamestring""
UltraSimVerilog.verimixOptsfilename1string""
UltraSimVerilog.verimixOptsscope9string""
UltraSimVerilog.verimixOptsimportsdfswitchbooleannil
UltraSimVerilog.verimixOptsfilename2string""
UltraSimVerilog.verimixOptsnumberofsdffilesint2
UltraSimVerilog.verimixOptsscope2string""
UltraSimVerilog.verimixOptsscope6string""
UltraSimVerilog.verimixOptsfilename10string""
UltraSimVerilog.verimixOptsdelaymodechoicestring"Ignore"
UltraSimVerilog.verimixOptssdfmixedswitchbooleant
UltraSimVerilog.verimixOptsfilename9string""
UltraSimVerilog.verimixOptsscope5string""
UltraSimVerilog.verimixOptsfilename5string""
UltraSimVerilog.verimixOptsfilename3string""
UltraSimVerilog.verimixOptsscope4string""
;UltraSimVerilog verilogOpts partition variables
UltraSimVerilog.verilogOptsotherOptionsstring"+libext+.v+ +incdir+hdlFilesDir +sdf_verbose +sdf_nocheck_celltype"
UltraSimVerilog.verilogOptsoptionsFilestring""
UltraSimVerilog.verilogOptstwinTurbobooleannil
UltraSimVerilog.verilogOptssuppressMessagesbooleannil
UltraSimVerilog.verilogOptslibraryFilestring""
UltraSimVerilog.verilogOptsbehaveProfilebooleannil
UltraSimVerilog.verilogOptsverimixLogstring"verilog.log"
UltraSimVerilog.verilogOptssimVisionbooleannil
UltraSimVerilog.verilogOptspulseSpecbooleannil
UltraSimVerilog.verilogOptscommandFilestring""
UltraSimVerilog.verilogOptspulseErrorint100
UltraSimVerilog.verilogOptsstopCompilebooleannil
UltraSimVerilog.verilogOptskeepNodesstring"Minimum"
UltraSimVerilog.verilogOptsaccelerationCAbooleannil
UltraSimVerilog.verilogOptsturboRadiostring"Default"
UltraSimVerilog.verilogOptslibraryDirstring""
UltraSimVerilog.verilogOptssuppressWarningsbooleannil
UltraSimVerilog.verilogOptsaccelerationSwitchesbooleannil
UltraSimVerilog.verilogOptsaccelerationNormalbooleant
UltraSimVerilog.verilogOptsdelayTypestring"Typical"
UltraSimVerilog.verilogOptspulseRejectint100
UltraSimVerilog.verilogOptsdelayModestring"Default"
UltraSimVerilog.verilogOptsvermixBinarystring"verilog.vmx"
;UltraSimVerilog init partition variables
UltraSimVerilog.initprocessPriorityint0
;UltraSimVerilog envOpts partition variables
UltraSimVerilog.envOptsmspSetupHNLNetlistPwrNetsstring"vdd! vdda! vddd! vcc! vcca! vccd!"
UltraSimVerilog.envOptsmspSetupNetlistStopSymbolImplicitbooleannil
UltraSimVerilog.envOptsmspSetupNetlistOverWriteSchTimeScalebooleannil
UltraSimVerilog.envOptsrunModestring"Batch"
UltraSimVerilog.envOptsmspSetupNetlistAssignForAliasbooleannil
UltraSimVerilog.envOptsmspSetupNetlistEscapeNamebooleannil
UltraSimVerilog.envOptsautoDisplaybooleant
UltraSimVerilog.envOptsmspSetupNetlistSimPrecisionUnitstring"ns"
UltraSimVerilog.envOptsinteractiveCtrFilestring""
UltraSimVerilog.envOptsmspSetupHNLNetlistGndNetsstring"gnd! gnda! gndd! vss! vssa! vssd! vee! veea! veed!"
UltraSimVerilog.envOptsmspSetupNetlistOverWriteVerimixbooleannil
UltraSimVerilog.envOptsmspSetupNetlistPinMapbooleannil
UltraSimVerilog.envOptsmspSetupNetlistBusbooleant
UltraSimVerilog.envOptsmspSetupNetlistDeclareGlobalLocallybooleannil
UltraSimVerilog.envOptsmspSetupNetlistSimTimeUnitstring"ns"
UltraSimVerilog.envOptsmspSetupNetlistTemplatestring"Verimix"
UltraSimVerilog.envOptsmspSetupNetlistExplicitbooleannil
UltraSimVerilog.envOptsmspSetupNetlistSkipTimingInfobooleannil
UltraSimVerilog.envOptsmspSetupNetlistUseTestfixturebooleant
UltraSimVerilog.envOptsprintCommentsbooleannil
UltraSimVerilog.envOptsmspSetupNetlistLaiLmsibooleannil
UltraSimVerilog.envOptsmspSetupNetlistSimPrecisionValueint1
UltraSimVerilog.envOptsmspSetupNetlistSwitchRCbooleannil
UltraSimVerilog.envOptsmspSetupNetlistSimTimeValueint1
UltraSimVerilog.envOptsmspSetupNetlistUseLibbooleannil
UltraSimVerilog.envOptsmspSetupNetlistNullPortbooleannil
UltraSimVerilog.envOptsmspSetupNetlistDropPortRangebooleant
UltraSimVerilog.envOptsuserCmdLineOptionstring""
UltraSimVerilog.envOptsmspSetupNetlistUpCasebooleannil
UltraSimVerilog.envOptsmspSetupNetlistIncreNetlistConfigbooleannil
;UltraSimVerilog tran partition variables
UltraSimVerilog.tranenabletoggle(nil)
UltraSimVerilog.transtopstring""
UltraSimVerilog.transaveOPbooleannil
;UltraSimVerilog tranOpts partition variables
UltraSimVerilog.tranOptsstartstring""
UltraSimVerilog.tranOptsoutputstartstring""
UltraSimVerilog.tranOptsstepstring"1e-9"
UltraSimVerilog.tranOptsreadicstring""
UltraSimVerilog.tranOptsreadnsstring""
UltraSimVerilog.tranOptswritestring""
UltraSimVerilog.tranOptswritefinalstring""
UltraSimVerilog.tranOptsmethodstring"euler"
UltraSimVerilog.tranOptsskipstartstring""
UltraSimVerilog.tranOptsskipstopstring""
UltraSimVerilog.tranOptsstrobeperiodstring""
UltraSimVerilog.tranOptsstrobedelaystring""
UltraSimVerilog.tranOptsinfotimesstring""
UltraSimVerilog.tranOptsmaxstep_windowstring""
UltraSimVerilog.tranOptsmaxstepUstring""
UltraSimVerilog.tranOptsmax_startstring""
UltraSimVerilog.tranOptsmax_stopstring""
UltraSimVerilog.tranOptsmax_subcktstring""
;UltraSimVerilog envlp partition variables
UltraSimVerilog.envlpenabletoggle(nil)
UltraSimVerilog.envlpstopstring""
UltraSimVerilog.envlpenv_clockffloat0.0
UltraSimVerilog.envlpenv_nsamplesint8
UltraSimVerilog.envlpenv_maxnstepint10
UltraSimVerilog.envlpenv_speedstring"4"
UltraSimVerilog.envlpenv_tstartstring""
UltraSimVerilog.envlpenv_tstopstring""
UltraSimVerilog.envlpenv_tolfloat0.1
UltraSimVerilog.envlpenv_trtolint10
UltraSimVerilog.envlpenv_forderint-1
UltraSimVerilog.envlpenv_harmsint1
UltraSimVerilog.envlpacprWizardInfostring""
;UltraSimVerilog envlpOpts partition variables
UltraSimVerilog.envlpOptsstartstring""
UltraSimVerilog.envlpOptsoutputstartstring""
UltraSimVerilog.envlpOptsstepstring"1e-9"
UltraSimVerilog.envlpOptsreadicstring""
UltraSimVerilog.envlpOptsreadnsstring""
UltraSimVerilog.envlpOptswritestring""
UltraSimVerilog.envlpOptswritefinalstring""
UltraSimVerilog.envlpOptsmethodstring"euler"
UltraSimVerilog.envlpOptsskipstartstring""
UltraSimVerilog.envlpOptsskipstopstring""
UltraSimVerilog.envlpOptsstrobeperiodstring""
UltraSimVerilog.envlpOptsstrobedelaystring""
UltraSimVerilog.envlpOptsinfotimesstring""
UltraSimVerilog.envlpOptsmaxstep_windowstring""
UltraSimVerilog.envlpOptsmaxstepUstring""
UltraSimVerilog.envlpOptsmax_startstring""
UltraSimVerilog.envlpOptsmax_stopstring""
UltraSimVerilog.envlpOptsmax_subcktstring""
;Abstract Generator environment variables
;FORMAT:
;tool.partition name type value private {choices, minmax} comment
absGenoptionFileNamesstring"";Options file names
;1*******************************************************
;2* DLE/DLR Environment Variables
;3*******************************************************
adledrdUseNetNamebooleannil
adlelxLocalAbutmentbooleannil
adledeleteUnmatchedInstsbooleannil
adledeleteUnmatchedPinsbooleannil
adlecolorDraglinesbooleannil
adleshowDraglinesForDistantConnsbooleannil
adlehideDraglinesForGlobalNetsbooleannil
;ams environment variables
;This file was generated by `asiCreateEnvFile()'
;FORMAT: tool.partition name type value private {choices, minmax} comment
;ams elabOpts partition variables
ams.elabOptspliNoWarnbooleannil
ams.elabOptspulse_Estring"100"
ams.elabOptsrelaxbooleannil
ams.elabOptsusePulseRbooleannil
ams.elabOptsnoNeg_tchkbooleannil
ams.elabOptssimPrecisionstring"1"
ams.elabOptsneverWarnbooleannil
ams.elabOptsno_vpd_msgbooleannil
ams.elabOptsno_sdfa_headerbooleannil
ams.elabOptsmessagesbooleant
ams.elabOptspulse_Int_Estring"100"
ams.elabOptspulse_Rstring"100"
ams.elabOptsnotimingchecksbooleannil
ams.elabOptsadditionalArgstring""
ams.elabOptsnoWarnstring"DLNOHV"
ams.elabOptsstatusbooleant
ams.elabOptsntc_warnbooleannil
ams.elabOptsnoNotifierbooleannil
ams.elabOptsdelay_typestring"None"
ams.elabOptsvitalInterconnectDelaysstring"Typical"
ams.elabOptsaccessstring"Read"
ams.elabOptsuseExtendtcheckdatalimitbooleannil
ams.elabOptsno_tchk_msgbooleannil
ams.elabOptsnoautosdfbooleannil
ams.elabOptsgenafilestring""
ams.elabOptsintermod_pathbooleannil
ams.elabOptsafilestring""
ams.elabOptspulse_Int_Rstring"100"
ams.elabOptssdf_nocheck_celltypebooleannil
ams.elabOptsv93booleannil
ams.elabOptsnoipdbooleannil
ams.elabOptssimTimestring"1"
ams.elabOptssdf_cmd_filestring""
ams.elabOptsextend_tcheck_data_limitstring"0"
ams.elabOptssdf_precisionstring""
ams.elabOptsdisable_enhtbooleannil
ams.elabOptsdisciplinestring"logic"
ams.elabOptsnovitalacclbooleant
ams.elabOptspliNoOptWarnbooleannil
ams.elabOptsnoSourcebooleannil
ams.elabOptsepulse_negbooleannil
ams.elabOptslibVerbosebooleannil
ams.elabOptsloadPLI1string""
ams.elabOptsanno_simtimebooleannil
ams.elabOptsno_tchk_xgenbooleannil
ams.elabOptsextend_tcheck_reference_limitstring"0"
ams.elabOptsusePulseEbooleannil
ams.elabOptsunitsSimTimestring"ns"
ams.elabOptsusePulseIntRbooleannil
ams.elabOptssdf_no_warningsbooleannil
ams.elabOptsno_vpd_xgenbooleannil
ams.elabOptsusePulseIntEbooleannil
ams.elabOptssdf_worstcase_roundingbooleannil
ams.elabOptsepulseFilteringstring"None"
ams.elabOptserrorMaxstring"50"
ams.elabOptspathpulsebooleannil
ams.elabOptsunitsSimPrecisionstring"ns"
ams.elabOptsloadVPIstring""
ams.elabOptsuseExtendtcheckreferencelimitbooleannil
ams.elabOptsdelay_modestring"None"
ams.elabOptsdresolutionbooleannil
ams.elabOptssdf_verbosebooleannil
;ams simOpts partition variables
ams.simOptspliNoWarnbooleannil
ams.simOptsneverWarnbooleannil
ams.simOptsmessagesbooleant
ams.simOptsprofThreadbooleannil
ams.simOptsstatusbooleannil
ams.simOptsnoWarnstring"DLNOHV"
ams.simOptsadditionalArgstring""
ams.simOptsepulse_No_Msgbooleannil
ams.simOptsnoCIFCheckbooleannil
ams.simOptspliNoOptWarnbooleannil
ams.simOptsextAssertMsgbooleannil
ams.simOptsinputstring""
ams.simOptsprofilebooleannil
ams.simOptserrorMaxstring"50"
ams.simOptsloadVPIstring""
;ams opts partition variables
ams.optsauditstring""
ams.optsmos_vresstring""
ams.optsmos_methodstring""
ams.optssensfileonlystring""
ams.optsopptcheckstring""
ams.optsmaxrsdstring""
ams.optsmaxwarnstologfilestring""
ams.optsreltolstring"1e-3"
ams.optsnotationstring""
ams.optsapproxstring""
ams.optswarnstring""
ams.optsinfostring""
ams.optsquantitiesstring""
ams.optspivabsstring""
ams.optscompatiblestring""
ams.optsgminstring"1e-12"
ams.optsignshortsstring""
ams.optsrforcestring"1"
ams.optshomotopystring""
ams.optsnotestring""
ams.optsiabstolstring"1e-12"
ams.optsdebugstring""
ams.optstempeffectsstring""
ams.optsmaxwarnsstring"5"
ams.optsvabstolstring"1e-6"
ams.optsmacromodelsstring""
ams.optstnomstring"27"
ams.optstempstring"27"
ams.optsgmin_checkstring""
ams.optsminrstring""
ams.optsnarratestring""
ams.optsmaxnotesstring"5"
ams.optsscalestring"1.0"
ams.optsdiagnosestring""
ams.optsmaxnotestologfilestring""
ams.optsdigitsstring"5"
ams.optspivotdcstring""
ams.optspivrelstring"1e-3"
ams.optsscalemstring"1.0"
ams.optsadditionalArgsstring""
ams.optslimitstring""
ams.optstopcheckstring""
ams.optsinventorystring""
ams.optserrorstring""
;ams UltraSimOpts partition variables
ams.UltraSimOptsUsimPCADcpathCTstring"50u"
ams.UltraSimOptsUsimRAAgeDomainstring"loglog"
ams.UltraSimOptsrshortstring"1e-6"
ams.UltraSimOptsUsimDCATimeIntervalsstring""
ams.UltraSimOptsUsimDCAVgsustring""
ams.UltraSimOptsUsimDCASubcktstring""
ams.UltraSimOptsUsimPCAFanoutstring"All Selected Nodes (0)"
ams.UltraSimOptsUsimUPStoggle(nil)
ams.UltraSimOptssimSavebooleannil
ams.UltraSimOptsUsimTAMaxPerTimestring""
ams.UltraSimOptsUsimUPSWaveformFilestring""
ams.UltraSimOptsabstolistring"1.00e-12"
ams.UltraSimOptsUsimPAEnabledbooleannil
ams.UltraSimOptsParasiticRCFile_DPFstring""
ams.UltraSimOptsUsimNAOutputSortstring"name"
ams.UltraSimOptsUsimPCAPreservestring"No"
ams.UltraSimOptssimSaveFilestring""
ams.UltraSimOptsUsimDCAVgbustring""
ams.UltraSimOptsUsimDCAVdblstring""
ams.UltraSimOptsUsimTASigNamesstring""
ams.UltraSimOptsdcstring"Complete DC (1)"
ams.UltraSimOptsUsimPNANodeNamestring""
ams.UltraSimOptsotherstring""
ams.UltraSimOptsUsimPADepthstring"1"
ams.UltraSimOptssimRestartbooleannil
ams.UltraSimOptsotherSpicestring""
ams.UltraSimOptsParasiticRCFile_CAPFILEstring""
ams.UltraSimOptsUsimDCAPreservestring"No"
ams.UltraSimOptswf_tresstring"1e-12"
ams.UltraSimOptsUsimPNAActtoggle(nil)
ams.UltraSimOptsUsimPATimeIntervalsstring""
ams.UltraSimOptsUsimDCAVsblstring""
ams.UltraSimOptsUsimPCATimePointstring""
ams.UltraSimOptsUsimRAModestring"HCI only"
ams.UltraSimOptstempstring"27"
ams.UltraSimOptsrcr_fmaxstring"1e9"
ams.UltraSimOptssimTimePointsstring""
ams.UltraSimOptsParasiticRCFile_SPEFstring""
ams.UltraSimOptsUsimDCADurationTimestring""
ams.UltraSimOptsUsimPCADurationTimestring"5n"
ams.UltraSimOptsUsimPNASizetoggle(nil)
ams.UltraSimOptsUsimTASigEdgeTypestring"rise"
ams.UltraSimOptsUsimPCAPSubcktstring""
ams.UltraSimOptsUsimRADeltaDTogglebooleant
ams.UltraSimOptstolstring"0.01"
ams.UltraSimOptsUsimTARefSigEdgeTypestring"rise"
ams.UltraSimOptswf_reltolstring""
ams.UltraSimOptswf_abstolistring"1e-12"
ams.UltraSimOptswf_formatstring"SST2"
ams.UltraSimOptsUsimDCAVdbustring""
ams.UltraSimOptsParasiticRCFile_SPFstring""
ams.UltraSimOptsUsimRAAgeMethodstring"interp"
ams.UltraSimOptsvrInstanceNamesstring""
ams.UltraSimOptsUsimTASigLowThresholdstring""
ams.UltraSimOptsUsimNAStopstring""
ams.UltraSimOptsUsimTAMinLowTimestring""
ams.UltraSimOptsUsimTAEnabledbooleannil
ams.UltraSimOptspn_max_resstring""
ams.UltraSimOptsUsimNAtoggle(nil)
ams.UltraSimOptsUsimPCATimeCheckedstring"period"
ams.UltraSimOptspostlstring"No RCR (0)"
ams.UltraSimOptsUsimPCAtoggle(nil)
ams.UltraSimOptsabstolvstring"1.00e-06"
ams.UltraSimOptsUsimDCAVgdustring""
ams.UltraSimOptsUsimDCAInststring""
ams.UltraSimOptsUsimDCAVgdlstring""
ams.UltraSimOptsUsimNAStartstring""
ams.UltraSimOptsdiode_methodstring"Analog Table (A)"
ams.UltraSimOptsUsimUPSOutputNodestring""
ams.UltraSimOptsUsimRAAgeprocstring""
ams.UltraSimOptsUsimTAMinHighTimestring""
ams.UltraSimOptsUsimPCAElementsstring""
ams.UltraSimOptsUsimPAtoggle(nil)
ams.UltraSimOptsUsimDCAReportTitlestring""
ams.UltraSimOptsUsimRAMinAgefloat0.0
ams.UltraSimOptsUsimTAMaxHighTimestring""
ams.UltraSimOptsUsimTAtoggle(nil)
ams.UltraSimOptstnomstring"27"
ams.UltraSimOptsUsimUPSPowerNodesstring""
ams.UltraSimOptswf_abstolvstring"1e-6"
ams.UltraSimOptsUsimDCAVgslstring""
ams.UltraSimOptsUsimPCASubcktCheckedstring""
ams.UltraSimOptsUsimRADeltaDfloat0.1
ams.UltraSimOptssimLoadFilestring""
ams.UltraSimOptsUsimPCAReportTitlestring""
ams.UltraSimOptsUsimPNAElemThresholdstring"10"
ams.UltraSimOptsUsimDCAEnabledbooleannil
ams.UltraSimOptsUsimPALimitstring""
ams.UltraSimOptsUsimTARefSigstring""
ams.UltraSimOptsvrNodeNamesstring""
ams.UltraSimOptsmos_methodstring"Analog/MS Table (A)"
ams.UltraSimOptsUsimUPSIrPeakThresholdstring""
ams.UltraSimOptsUsimUPSIrRmsThresholdstring""
ams.UltraSimOptsUsimDCAProbestring"0"
ams.UltraSimOptsUsimRANBTIAgeprocstring""
ams.UltraSimOptsUsimTANegWindowstring""
ams.UltraSimOptsUsimTARefSigHighThresholdstring""
ams.UltraSimOptswf_filterbooleant
ams.UltraSimOptsUsimTASigHighThresholdstring""
ams.UltraSimOptsUsimUPSAllWaveformstring"Voltage for Tap Points"
ams.UltraSimOptsUsimTAMinPerTimestring""
ams.UltraSimOptsUsimTAStopstring""
ams.UltraSimOptsUsimTAReportTitlestring""
ams.UltraSimOptsUsimPCAExiCTstring"10u"
ams.UltraSimOptsUsimPCASubcktExcludedstring""
ams.UltraSimOptsUsimPNAConntoggle(nil)
ams.UltraSimOptsUsimUPSSpeedstring"Aggressive (3)"
ams.UltraSimOptsdump_stepstring""
ams.UltraSimOptsUsimDCAVdsustring""
ams.UltraSimOptsUsimPCAEnabledbooleannil
ams.UltraSimOptsspeedstring"Default (5)"
ams.UltraSimOptsdcutbooleannil
ams.UltraSimOptsUsimPAPortstring""
ams.UltraSimOptsUsimTASubcktNamestring""
ams.UltraSimOptsskip_subcktstring""
ams.UltraSimOptsUsimPCAZNodesstring""
ams.UltraSimOptsUsimTAStartstring""
ams.UltraSimOptsUsimDCAVgblstring""
ams.UltraSimOptsUsimPNANodetoggle(nil)
ams.UltraSimOptspn_methodstring"short"
ams.UltraSimOptsUsimPNAtoggle(nil)
ams.UltraSimOptsUsimNALimitstring""
ams.UltraSimOptsUsimDCADisplaySettingstring""
ams.UltraSimOptsUsimPCACheckTypestring"Over Current"
ams.UltraSimOptsUsimRAAgingTimestring"10y"
ams.UltraSimOptsscalestring"1.0"
ams.UltraSimOptsrvshortstring"1e-6"
ams.UltraSimOptsUsimPANamestring""
ams.UltraSimOptsUsimPCATimeIntervalsstring""
ams.UltraSimOptsanalogstring"Default (1)"
ams.UltraSimOptsUsimTAMaxLowTimestring""
ams.UltraSimOptsUsimPAOutputSortstring"avg"
ams.UltraSimOptsUsimPAPowerbooleannil
ams.UltraSimOptsskip_subckt_instsstring""
ams.UltraSimOptsUsimTASetupTimestring""
ams.UltraSimOptsUsimDCAVdslstring""
ams.UltraSimOptslshortstring"0"
ams.UltraSimOptsdcut_fieldstring""
ams.UltraSimOptsUsimDCAVsbustring""
ams.UltraSimOptsUsimTATriggerstring"both"
ams.UltraSimOptsUsimPCAVSNodesstring""
ams.UltraSimOptssimRepeatstring""
ams.UltraSimOptsUsimTADisplaySettingstring""
ams.UltraSimOptssim_modestring"Mixed Signal (MS)"
ams.UltraSimOptscgndrstring"0"
ams.UltraSimOptscgndstring"1e-20"
ams.UltraSimOptsUsimDCAModelstring""
ams.UltraSimOptsUsimRAtoggle(nil)
ams.UltraSimOptsUsimUPSIrDropIterationstring"1"
ams.UltraSimOptsUsimTARefSigLowThresholdstring""
ams.UltraSimOptsUsimTACheckTypestring"setup"
ams.UltraSimOptsskipbooleannil
ams.UltraSimOptsUsimPCAZXSubcktstring""
ams.UltraSimOptsUsimUPSIrAvgThresholdstring""
ams.UltraSimOptsUsimNASortIsstring"inc"
ams.UltraSimOptsUsimPCADisplaySettingstring""
ams.UltraSimOptsscalemstring"1.0"
ams.UltraSimOptsvrCellNamesstring""
ams.UltraSimOptsUsimNANodeNamesstring""
ams.UltraSimOptsUsimPADisplaySettingstring""
ams.UltraSimOptsUsimTADepthstring""
ams.UltraSimOptsUsimDCAtoggle(nil)
ams.UltraSimOptslvshortstring"0"
;ams outputs partition variables
ams.outputscurrentsstring"selected"
ams.outputsoutputParamInfobooleant
ams.outputsmodelParamInfobooleant
ams.outputsportTypestring"Input"
ams.outputsnetLevelsToSavestring""
ams.outputscurrentLevelsstring""
ams.outputscurrentLevelsToSavestring""
ams.outputsdomainFilterstring""
ams.outputsnetLevelsstring""
ams.outputselementInfobooleant
ams.outputssavestring"selected"
;ams init partition variables
ams.initprocessPriorityint0
;ams envOpts partition variables
ams.envOptsstopViewListstring"spectre verilog veriloga"
ams.envOptsselectRulesstring"Built-in"
ams.envOptsrunElabOnlytoggle(t nil)
ams.envOptsSpecialHandleForDigLibDirsbooleannil
ams.envOptsswitchViewListstring"spectre verilog veriloga cmos_sch cmos.sch schematic ahdl symbol"
ams.envOptsuseSPPFilestring""
ams.envOptsenableArclengthbooleannil
ams.envOptssolverstring"Spectre"
ams.envOptssppstring""
ams.envOptscompatModestring"spectre"
ams.envOptsrunOptsstring"Batch (normal)"
ams.envOptsnetlisterModestring"Cellview-based"
ams.envOptsrulestring"ConnRules_5V_full"
ams.envOptsrunSimOnlytoggle(t)
ams.envOptsparamRangeCheckFilestring""
ams.envOptsSpecialHandleForDigitalBlockbooleannil
ams.envOptsconnectRulesPathstring""
ams.envOptsSpecialHandleForDigLibFilesbooleannil
ams.envOptsrunCompOnlytoggle(t nil)
ams.envOptsinvocationStylestring"ncvlog, ncelab, ncsim"
;ams compilerOpts partition variables
ams.compilerOptscompileAsAMSVHDLbooleannil
ams.compilerOptsrelaxbooleannil
ams.compilerOptsmaxErrorsstring"50"
ams.compilerOptsmessagesbooleant
ams.compilerOptsnowarnVHDLstring"DLNOHV"
ams.compilerOptsncvlogArgumentsstring""
ams.compilerOptsstatusbooleannil
ams.compilerOptsncvhdlArgumentsstring""
ams.compilerOptsv93booleant
ams.compilerOptscompileExcludeLibsstring""
ams.compilerOptsvitalcheckbooleant
ams.compilerOptshdlVarFilestring""
ams.compilerOptsincdirstring""
ams.compilerOptscompileAsAMSVlogbooleannil
ams.compilerOptsneverwarnbooleannil
ams.compilerOptslinedebugbooleannil
ams.compilerOptsmacroValuestring""
ams.compilerOptsmacroNamestring""
ams.compilerOptsnowarnVlogstring"DLNOHV"
;ams netlisterOpts partition variables
ams.netlisterOptsvlogSupply0Sigsstring""
ams.netlisterOptstemplateScriptstring""
ams.netlisterOptsvlogSupply1Sigsstring""
ams.netlisterOptsamsmaxErrorsstring"50"
ams.netlisterOptsheaderTextstring"none"
ams.netlisterOptstemplateFilestring""
ams.netlisterOptsamsVerbosebooleannil
ams.netlisterOptsincludeFilesstring"disciplines.vams userDisciplines.vams"
ams.netlisterOptsvlogGroundSigsstring"gnd!"
;ams ncverilogOpts partition variables
ams.ncverilogOptslibDirsstring""
ams.ncverilogOptspliNoWarnbooleannil
ams.ncverilogOptsmaxErrorsstring""
ams.ncverilogOptsmessagesbooleannil
ams.ncverilogOptsstatusbooleannil
ams.ncverilogOptsadditionalArgstring""
ams.ncverilogOptslibFilesstring""
ams.ncverilogOptsoptsFilestring""
ams.ncverilogOptspliNoOptWarnbooleannil
ams.ncverilogOptsloadPLI1string""
ams.ncverilogOptsanno_simtimebooleannil
ams.ncverilogOptsneverwarnbooleannil
ams.ncverilogOptsnowarnVlogstring"DLNOHV"
ams.ncverilogOptsloadVPIstring""
;ams tran partition variables
ams.tranenabletoggle(nil)
ams.transtopstring""
ams.tranerrpresetstring""
;ams tranOpts partition variables
ams.tranOptsstartstring""
ams.tranOptsstepstring""
ams.tranOptsreadicstring""
ams.tranOptswritestring"spectre.ic"
ams.tranOptswritefinalstring"spectre.fc"
ams.tranOptsmethodstring""
ams.tranOptsskipstartstring""
ams.tranOptsskipstopstring""
ams.tranOptsskipcountstring""
ams.tranOptsstrobeperiodstring""
ams.tranOptsstrobedelaystring""
ams.tranOptsinfotimesstring""
ams.tranOptsfinalTimeOpstring"yes"
ams.tranOptsadditionalParamsstring""
ams.tranOptsmaxstepstring""
ams.tranOptstransresstring""
ams.tranOptsicstring""
ams.tranOptsskipdcstring""
ams.tranOptsreadnsstring""
ams.tranOptscminstring""
ams.tranOptsrelrefstring""
ams.tranOptslteratiostring""
ams.tranOptsfastbreakstring""
ams.tranOptsmaxitersstring"5"
ams.tranOptsannotatestring"status"
ams.tranOptsstatsstring""
ams.tranOptstitlestring""
ams.tranOptsoutputstartstring""
ams.tranOptsmaxstep_windowstring""
ams.tranOptsmaxstepUstring""
ams.tranOptsmax_startstring""
ams.tranOptsmax_stopstring""
ams.tranOptsmax_subcktstring""
;ams ac partition variables
ams.acenabletoggle(nil)
ams.acsweepstring"Frequency"
ams.acrangeTypestring"Start-Stop"
ams.acsweepChangebooleannil
ams.acstartstring""
ams.acstopstring""
ams.accenterstring""
ams.acspanstring""
ams.acincrTypestring"Automatic"
ams.acstepTypeLinstring"Step Size"
ams.acstepTypeLogstring"Points Per Decade"
ams.acstepstring""
ams.aclinstring""
ams.acdecstring""
ams.aclogstring""
ams.acuseDiscretebooleannil
ams.acvaluesstring""
ams.acspecialstring"None"
;ams acOpts partition variables
ams.acOptsreadnsstring""
ams.acOptsprevoppointstring""
ams.acOptssavestring""
ams.acOptsnestlvlstring""
ams.acOptsoppointstring""
ams.acOptsrestartstring""
ams.acOptsannotatestring"status"
ams.acOptsstatsstring""
ams.acOptstitlestring""
ams.acOptsadditionalParamsstring""
;ams dc partition variables
ams.dcenabletoggle(nil)
ams.dcsaveOppointbooleannil
ams.dcsweepstring""
ams.dcsweepChangebooleannil
;ams dcOpts partition variables
ams.dcOptsadditionalParamsstring""
;AMS-Direct Environment Defaults
;FORMAT: tool[.partition] varName type value private {choices, minmax} comment
amsDirectamsCompModebooleannil
amsDirectamsLSB_MSBbooleannil
amsDirectamsScalarInstancesbooleant
amsDirectincludeInstCdfParamsbooleannil
amsDirectamsVerbosebooleannil
amsDirectconfirmADEStateImportbooleant
amsDirectuseRunDirNetlistsOnlybooleant
amsDirectuseEffectiveCDFbooleannil
amsDirectnetlistToRunDirbooleannil
amsDirectamsMaxErrorsint50
amsDirectamsExcludeParamsstring""
amsDirecthdlVarFilestring""
amsDirectsimRunDirLocstring""
amsDirectlogFileNamestring"ams_direct.log"
amsDirectamsDefinitionViewsstring""
amsDirectinitFilestring""
amsDirectartistStateDirectorystring"~/.artist_states"
amsDirectamsExpScalingFactorcyclic"no"
amsDirectmodifyParamScopecyclic"no"
amsDirectimplicitTmpDirstring""
amsDirectdefaultRunDirstring""
amsDirect.prepallowUndefParamsbooleant
amsDirect.prepdetailedDisciplineResbooleannil
amsDirect.prepforceGlobalSyncbooleannil
amsDirect.prepncsimGUIbooleant
amsDirect.prepncsimTclbooleannil
amsDirect.preprunNcelabbooleant
amsDirect.preprunNcsimbooleant
amsDirect.prepuse5xForVHDLbooleant
amsDirect.prepncelabAnnoSimtimebooleannil
amsDirect.prepncelabCoveragebooleannil
amsDirect.prepncelabEpulseNegbooleannil
amsDirect.prepncelabIeee1634booleannil
amsDirect.prepncelabInterconnmultisrcbooleannil
amsDirect.prepncelabLibverbosebooleannil
amsDirect.prepncelabMessagesbooleannil
amsDirect.prepncelabNostdoutbooleannil
amsDirect.prepncelabNoTchkMsgbooleannil
amsDirect.prepncelabNoTchkXgenbooleannil
amsDirect.prepncelabNotimingchecksbooleannil
amsDirect.prepncelabNovitalacclbooleant
amsDirect.prepncelabNoVpdmsgbooleannil
amsDirect.prepncelabNoVpdXgenbooleannil
amsDirect.prepncelabNtcWarnbooleannil
amsDirect.prepncelabPathpulsebooleannil
amsDirect.prepncelabPlinooptwarnbooleannil
amsDirect.prepncelabPlinowarnbooleannil
amsDirect.prepncelabPresrvResFnbooleannil
amsDirect.prepncelabSdfNocheckCelltypebooleannil
amsDirect.prepncelabSdfNoHeaderbooleannil
amsDirect.prepncelabSdfNoWarningsbooleannil
amsDirect.prepncelabSdfWorstcaseRoundingbooleannil
amsDirect.prepncelabStatusbooleant
amsDirect.prepncelabUpdatebooleant
amsDirect.prepncelabV93booleannil
amsDirect.prepncelabNosourcebooleannil
amsDirect.prepncelabUseAfilebooleannil
amsDirect.prepncelabUseGenafilebooleannil
amsDirect.prepncelabExpandbooleannil
amsDirect.prepncelabRelaxbooleannil
amsDirect.prepncelabDisableenhtbooleannil
amsDirect.prepncelabNonegtchkbooleannil
amsDirect.prepncelabNoipdbooleannil
amsDirect.prepncelabSdfverbosebooleannil
amsDirect.prepncelabNoautosdfbooleannil
amsDirect.prepncelabNeverwarnbooleannil
amsDirect.prepncelabNocopyrightbooleannil
amsDirect.prepncelabUseGenericbooleannil
amsDirect.prepncelabUsePulseRbooleannil
amsDirect.prepncelabUsePulseEbooleannil
amsDirect.prepncelabUsePulseIntRbooleannil
amsDirect.prepncelabUsePulseIntEbooleannil
amsDirect.prepncelabUseExtendtcheckdatalimitbooleannil
amsDirect.prepncelabUseExtendtcheckreferencelimitbooleannil
amsDirect.prepncelabNonotifierbooleannil
amsDirect.prepncelabUseSdfprecisionbooleannil
amsDirect.prepncsimEpulseNoMsgbooleannil
amsDirect.prepncsimExtassertmsgbooleannil
amsDirect.prepncsimMessagesbooleannil
amsDirect.prepncsimNeverwarnbooleannil
amsDirect.prepncsimNocifcheckbooleannil
amsDirect.prepncsimNosourcebooleannil
amsDirect.prepncsimNostdoutbooleannil
amsDirect.prepncsimPlinooptwarnbooleannil
amsDirect.prepncsimPlinowarnbooleannil
amsDirect.prepncsimProfilebooleannil
amsDirect.prepncsimProfthreadbooleannil
amsDirect.prepncsimRedmembooleannil
amsDirect.prepncsimStatusbooleannil
amsDirect.prepncsimUnbufferedbooleannil
amsDirect.prepncsimUpdatebooleant
amsDirect.prepncelabUseAddArgsbooleannil
amsDirect.prepncsimUseAddArgsbooleannil
amsDirect.prepwfDefInstSaveCurrentsbooleannil
amsDirect.prepwfDefInstCSaveAllbooleannil
amsDirect.prepwfDefInstSaveVoltagesbooleant
amsDirect.prepwfDefInstVSaveAllbooleannil
amsDirect.prepncelabMixEscbooleannil
amsDirect.prepuseNcelabSdfCmdFilebooleant
amsDirect.prepuseNcelabNowarnbooleant
amsDirect.prepuseSimVisScriptFilebooleant
amsDirect.prepuseNcsimNowarnbooleant
amsDirect.prepwfFilterbooleannil
amsDirect.prepncelabMaxErrorsint50
amsDirect.prepncelabPulseEint100
amsDirect.prepncelabPulseIntEint100
amsDirect.prepncelabPulseIntRint100
amsDirect.prepncelabPulseRint100
amsDirect.prepncelabExtendtcheckdatalimitint0
amsDirect.prepncelabExtendtcheckreferencelimitint0
amsDirect.prepncsimMaxErrorsint50
amsDirect.prepwfDefInstCSaveLvlint1
amsDirect.prepwfDefInstVSaveLvlint1
amsDirect.prepanalogControlFilestring""
amsDirect.prepcdsGlobalsLibstring""
amsDirect.prepcdsGlobalsViewstring""
amsDirect.prepcompileExcludeLibsstring""
amsDirect.prepconnectRulesCellstring"mixedsignal"
amsDirect.prepconnectRulesCell2string"ConnRules_5V_full"
amsDirect.prepconnectRulesLibstring""
amsDirect.prepconnectRulesViewstring""
amsDirect.prepdisciplinestring"logic"
amsDirect.preplanguagestring"verilog"
amsDirect.prepncelabArgumentsstring""
amsDirect.prepncsimArgumentsstring""
amsDirect.prepsimVisScriptFilestring""
amsDirect.preptimescalestring"1ns/1ns"
amsDirect.prepvlogGroundSigsstring"gnd!"
amsDirect.prepvlogSupply0Sigsstring""
amsDirect.prepvlogSupply1Sigsstring""
amsDirect.prepncelabAfilestring""
amsDirect.prepncelabGenafilestring""
amsDirect.prepncelabLoadpli1string""
amsDirect.prepncelabLoadvpistring""
amsDirect.prepncelabSdfCmdFilestring""
amsDirect.prepncelabTopLvlGenericstring""
amsDirect.prepncelabSdfprecisionstring""
amsDirect.prepncelabNowarnstring""
amsDirect.prepncsimLoadvpistring""
amsDirect.prepncsimNowarnstring""
amsDirect.prepncelabModelFilePathsstring""
amsDirect.prepncelabmodelIncDirsstring""
amsDirect.prepncelabsolverInfostring"Spectre"
amsDirect.prepwfDefaultDatabasestring"waves"
amsDirect.prepcompileModecyclic"incremental"
amsDirect.prepnetlistModecyclic"incremental"
amsDirect.prepncelabAccesscyclic"Read"
amsDirect.prepncelabDelayModecyclic"None"
amsDirect.prepncelabDelayTypecyclic"None"
amsDirect.prepncelabEpulseFilteringcyclic"None"
amsDirect.prepncelabLogFileActioncyclic"Overwrite log file"
amsDirect.prepncelabOmichecklvlcyclic"Standard"
amsDirect.prepncelabVipdelaycyclic"Typical"
amsDirect.prepncsimLogFileActioncyclic"Overwrite log file"
amsDirect.prepncsimOmichecklvlcyclic"None"
amsDirect.prepwfDefInstVSaveObjectscyclic"All_data"
amsDirect.prepwfFilterSpeccyclic"none"
amsDirect.simcntlscapproxbooleannil
amsDirect.simcntlscdebugbooleannil
amsDirect.simcntlscdiagnosebooleannil
amsDirect.simcntlscerrorbooleant
amsDirect.simcntlscignshortsbooleannil
amsDirect.simcntlscinfobooleant
amsDirect.simcntlscmacromodbooleannil
amsDirect.simcntlscnarratebooleant
amsDirect.simcntlscnotebooleant
amsDirect.simcntlscopptcheckbooleant
amsDirect.simcntlscpivotdcbooleannil
amsDirect.simcntlscscfusefileflagbooleannil
amsDirect.simcntlscspscflagbooleannil
amsDirect.simcntlscstatsbooleannil
amsDirect.simcntlscwarnbooleant
amsDirect.simcntlscfastbreakbooleannil
amsDirect.simcntlscusemodelevalbooleannil
amsDirect.simcntluseScscfincfilebooleant
amsDirect.simcntluseScaddlglbloptsbooleant
amsDirect.simcntluseScaddltranoptsbooleant
amsDirect.simcntluseUsimTranAddlOptionsbooleant
amsDirect.simcntluseScicbooleant
amsDirect.simcntluseScreadicbooleant
amsDirect.simcntluseScreadnsbooleant
amsDirect.simcntluseScwritebooleant
amsDirect.simcntluseScwritefinalbooleant
amsDirect.simcntldcopbooleannil
amsDirect.simcntlusimWFFilterbooleant
amsDirect.simcntlusimenableTAbooleannil
amsDirect.simcntlusimenablePAbooleannil
amsDirect.simcntlusimenableNAbooleannil
amsDirect.simcntlusimenableRAbooleannil
amsDirect.simcntlusimDcutbooleannil
amsDirect.simcntlusimRADeltaDTogglebooleant
amsDirect.simcntlusimUseAddlOptionsbooleant
amsDirect.simcntlscdigitsint5
amsDirect.simcntlscmaxitersint5
amsDirect.simcntlscmaxnotesint5
amsDirect.simcntlscmaxwarnint5
amsDirect.simcntlscscaleint1
amsDirect.simcntlscscalemint1
amsDirect.simcntlscskipcountint0
amsDirect.simcntlscspeedint0
amsDirect.simcntlscmaxnotestologfileint5
amsDirect.simcntlusimSpeedint5
amsDirect.simcntlscmaxwarntologfileint5
amsDirect.simcntlsccminstring"0.0"
amsDirect.simcntlscaddlglbloptsstring""
amsDirect.simcntlscaddltranoptsstring""
amsDirect.simcntlusimTranAddlOptionsstring""
amsDirect.simcntlscgminstring"1e-12"
amsDirect.simcntlsciabstolstring"1e-12"
amsDirect.simcntlscicstmtstring""
amsDirect.simcntlsclteratiostring""
amsDirect.simcntlscmaxstepstring""
amsDirect.simcntlscpivabsstring"0.0"
amsDirect.simcntlscpivrelstring"1e-3"
amsDirect.simcntlscreadicstring""
amsDirect.simcntlscreadnsstring""
amsDirect.simcntlscreltolstring""
amsDirect.simcntlscrforcestring"1.0"
amsDirect.simcntlscalemstring"1.0"
amsDirect.simcntlscalestring"1.0"
amsDirect.simcntlscscfincfilestring""
amsDirect.simcntlscscftimestampstring""
amsDirect.simcntlscskipstartstring"0.0"
amsDirect.simcntlscskipstopstring"0.0"
amsDirect.simcntlscstepstring""
amsDirect.simcntlscstopstring"0.0"
amsDirect.simcntlscstrobedelaystring"0.0"
amsDirect.simcntlscstrobeperiodstring"0.0"
amsDirect.simcntlsctempstring"27.0"
amsDirect.simcntlsctitlestring""
amsDirect.simcntlsctnomstring"27.0"
amsDirect.simcntlscvabstolstring"1e-6"
amsDirect.simcntlscwritestring""
amsDirect.simcntlscwritefinalstring""
amsDirect.simcntlscmosvresstring"0.05"
amsDirect.simcntlscmaxrsdstring""
amsDirect.simcntlusimTolstring"0.01"
amsDirect.simcntlusimAbstolvstring"1e-6"
amsDirect.simcntlusimAbstolistring"1e-12"
amsDirect.simcntlusimLshortstring"0.0"
amsDirect.simcntlusimLvshortstring"0.0"
amsDirect.simcntlusimRshortstring"1e-6"
amsDirect.simcntlusimRvshortstring"1e-6"
amsDirect.simcntlusimCgndstring"1e-20"
amsDirect.simcntlusimCgndrstring"0"
amsDirect.simcntlusimRcrfmaxstring"1e9"
amsDirect.simcntlstartstring"0.0"
amsDirect.simcntlusimMaxstepstring""
amsDirect.simcntlusimMaxstepStartstring""
amsDirect.simcntlusimMaxstepStopstring""
amsDirect.simcntlusimMaxstepSubcktstring""
amsDirect.simcntlusimWFReltolstring"0.0"
amsDirect.simcntlusimWFAbstolvstring"1e-6"
amsDirect.simcntlusimWFAbstolistring"1e-12"
amsDirect.simcntlusimWFTresstring"1e-12"
amsDirect.simcntlusimDumpStepstring""
amsDirect.simcntlusimNALimitstring"0"
amsDirect.simcntlusimRAAgingTimestring"10y"
amsDirect.simcntlusimRAAgeprocstring""
amsDirect.simcntlusimRANBTIAgeprocstring""
amsDirect.simcntlusimRADeltaDstring"0.1"
amsDirect.simcntlusimRAMinAgestring"0.0"
amsDirect.simcntlusimDcutFieldstring""
amsDirect.simcntlparamRangeCheckFilestring""
amsDirect.simcntlusimVectorFilestring""
amsDirect.simcntlusimVcdFilestring""
amsDirect.simcntlusimOutputStartstring"0.0"
amsDirect.simcntlusimSpfFilestring""
amsDirect.simcntlusimSpefFilestring""
amsDirect.simcntlusimDpfFilestring""
amsDirect.simcntlusimCapFilestring""
amsDirect.simcntlusimVcdInfoFilestring""
amsDirect.simcntlusimAddlOptionsstring""
amsDirect.simcntlscglobalminrstring"0.0"
amsDirect.simcntlscannotatecyclic"status"
amsDirect.simcntlscauditcyclic"detailed"
amsDirect.simcntlsccheckstmtcyclic"all"
amsDirect.simcntlsccompatiblecyclic"spectre"
amsDirect.simcntlscerrpresetcyclic"moderate"
amsDirect.simcntlscgmincheckcyclic"max_v_only"
amsDirect.simcntlschomotopycyclic"all"
amsDirect.simcntlsciccyclic"all"
amsDirect.simcntlscinventorycyclic"detailed"
amsDirect.simcntlsclimitcyclic"dev"
amsDirect.simcntlscmethodcyclic""
amsDirect.simcntlscnotationcyclic"eng"
amsDirect.simcntlscquantitiescyclic"no"
amsDirect.simcntlscrelrefcyclic""
amsDirect.simcntlscskipdccyclic"no"
amsDirect.simcntlsctempeffectscyclic"all"
amsDirect.simcntlsctopcheckcyclic"full"
amsDirect.simcntlsctransavecyclic"allpub"
amsDirect.simcntlscmodelevaltypecyclic"s"
amsDirect.simcntlusimSimModecyclic"Mixed signal"
amsDirect.simcntlusimAnalogcyclic"Default"
amsDirect.simcntlusimDCMethodcyclic"Complete DC"
amsDirect.simcntlusimPostlcyclic"No RCR"
amsDirect.simcntlusimDiodeMethodcyclic"Analog table"
amsDirect.simcntlusimMosMethodcyclic"Analog/MS table"
amsDirect.simcntlusimNAOutputSortcyclic"max_vo"
amsDirect.simcntlusimNASortIscyclic"inc"
amsDirect.simcntlusimRAModecyclic"HCI only"
amsDirect.simcntlusimRAAgeMethodcyclic"interp"
amsDirect.simcntlusimRAAgeDomaincyclic"loglog"
amsDirect.simcntlsimcompatcyclic"spectre"
amsDirect.vhdlallowNoEntityUpdatebooleannil
amsDirect.vhdlcheckAndNetlistbooleannil
amsDirect.vhdlcheckOnlybooleannil
amsDirect.vhdlcompileAsAMSbooleant
amsDirect.vhdlforceEntitySyncbooleannil
amsDirect.vhdlforceInlineCompDeclbooleannil
amsDirect.vhdlnetlistAfterCdfChangebooleannil
amsDirect.vhdlprohibitCompilebooleannil
amsDirect.vhdluseProcessViewNamesOnlybooleannil
amsDirect.vhdllexpragmabooleannil
amsDirect.vhdlmessagesbooleannil
amsDirect.vhdlneverwarnbooleannil
amsDirect.vhdlnopragmawarnbooleannil
amsDirect.vhdlnostdoutbooleannil
amsDirect.vhdlnovitalcheckbooleannil
amsDirect.vhdlpragmabooleannil
amsDirect.vhdlrelaxbooleannil
amsDirect.vhdlstatusbooleannil
amsDirect.vhdlupdatebooleant
amsDirect.vhdlv93booleant
amsDirect.vhdlvhdllinedebugbooleannil
amsDirect.vhdlncvhdlUseAddArgsbooleannil
amsDirect.vhdluseNowarnbooleant
amsDirect.vhdlmaxErrorsint50
amsDirect.vhdlamsEligibleViewTypesstring"schematic schematicSymbol"
amsDirect.vhdldefaultObjectstring"quantity"
amsDirect.vhdldefaultScalarNaturestring"real"
amsDirect.vhdldefaultScalarTypestring"quantity"
amsDirect.vhdldefaultVectorNaturestring"real_vector"
amsDirect.vhdldefaultVectorTypestring"quantity"
amsDirect.vhdldefPackageNamesstring""
amsDirect.vhdlexcludeViewNamesstring""
amsDirect.vhdlncvhdlArgumentsstring""
amsDirect.vhdlprocessViewNamesstring""
amsDirect.vhdltemplateFilestring""
amsDirect.vhdltemplateScriptstring""
amsDirect.vhdllogFileActioncyclic"Overwrite log file"
amsDirect.vhdlnowarnstring""
amsDirect.vhdlallowDeviantBusescyclic"no"
amsDirect.vhdlallowIllegalIdentifierscyclic"warn"
amsDirect.vhdlallowNameCollisionscyclic"warn"
amsDirect.vhdlallowPortNetMismatchescyclic"no"
amsDirect.vhdlallowSparseBusescyclic"warn"
amsDirect.vhdlheaderTextcyclic"none"
amsDirect.vlogcheckAndNetlistbooleannil
amsDirect.vlogcheckOnlybooleannil
amsDirect.vlogcompileAsAMSbooleant
amsDirect.vlogifdefLanguageExtensionsbooleannil
amsDirect.vlognetlistAfterCdfChangebooleannil
amsDirect.vlogprohibitCompilebooleannil
amsDirect.vloguseDefparambooleannil
amsDirect.vloguseProcessViewNamesOnlybooleannil
amsDirect.vlogchecktasksbooleannil
amsDirect.vlogieee1364booleannil
amsDirect.vlognolinebooleannil
amsDirect.vloglexpragmabooleannil
amsDirect.vlogmarkcelldefinesbooleannil
amsDirect.vlogmessagesbooleannil
amsDirect.vlogneverwarnbooleannil
amsDirect.vlognomempackbooleannil
amsDirect.vlognopragmawarnbooleannil
amsDirect.vlognostdoutbooleannil
amsDirect.vlogpragmabooleannil
amsDirect.vlogstatusbooleannil
amsDirect.vlogupdatebooleant
amsDirect.vlogvloglinedebugbooleannil
amsDirect.vlogncvlogUseAddArgsbooleannil
amsDirect.vlognetlistUDFAsMacrobooleannil
amsDirect.vlogbindCdsAliasLibbooleant
amsDirect.vlogbindCdsAliasViewbooleant
amsDirect.vlogverboseUpdatebooleant
amsDirect.vloguseNowarnbooleant
amsDirect.vlogmaxErrorsint50
amsDirect.vlogamsEligibleViewTypesstring"schematic"
amsDirect.vlogexcludeViewNamesstring""
amsDirect.vlogincludeFilesstring"(disciplines.vams)"
amsDirect.vlogncvlogArgumentsstring""
amsDirect.vlogparamDefValsstring""
amsDirect.vlogparamGlobalDefValstring"0"
amsDirect.vlogprocessViewNamesstring""
amsDirect.vlogtemplateFilestring""
amsDirect.vlogtemplateScriptstring""
amsDirect.vlogincdirstring""
amsDirect.vlogmacrostring""
amsDirect.vlognowarnstring""
amsDirect.vlogallowDeviantBusescyclic"no"
amsDirect.vlogallowIllegalIdentifierscyclic"warn"
amsDirect.vlogallowNameCollisionscyclic"warn"
amsDirect.vlogallowSparseBusescyclic"warn"
amsDirect.vlogheaderTextcyclic"none"
amsDirect.vloglogFileActioncyclic"Overwrite log file"
amsDirect.vlogiterInstExpFormatstring"%b_%i"
amsDirect.vlognetClashFormatstring"%b_netclash"
amsDirect.vloginstClashFormatstring"%b_instclash"
amsDirect.vlogaliasInstFormatstring"ams_alias_inst_%i"
;Analog Artist Simulation Environment Defaults
;FORMAT: tool[.partition] varName type value private {choices, minmax} comment
;Session Options
asimenvwindowBasedbooleant
asimenvschematicBasedbooleannil
asimenvsaveDirstring"~/.artist_states"
asimenvsaveAsCellviewbooleannil
asimenvsaveQuerybooleant
asimenvloadCornersbooleant
asimenvdesignEditModebooleannil;edit/read open mode
asimenvshowWhatsNewstring"yes"
asimenv.startupsimulatorstring"spectre"
asimenv.startupprojectDirstring"~/simulation"
asimenv.startuphostModestring"local"
asimenv.startuphoststring""
asimenv.startupremoteDirstring""
asimenv.startupdigitalHostModestring"local"
asimenv.startupdigitalHoststring""
asimenv.startupfilteredSimListstring""
asimenv.startupshowCdsSpicebooleannil
asimenv.startupamsOSSNetlisterFlagbooleannil
asimenv.startupcds_ade_wftoolstring"wavescan"
;Window Options
asimenv.windowxint1
asimenv.windowyint317
;Load State Dialog
asimenv.loadstatemodelSetupbooleant
;when set to nil, loadstate form opens with Model Setup deselected by default
;Plotting Options
asimenv.plottingautoPlotbooleant
asimenv.plottingartistPlottingModestring"Replace"
asimenv.plottingdirectPlotPlottingModestring"Append"
asimenv.plottingimmediatePlotbooleannil
asimenv.plottingdesignNamebooleant
asimenv.plottingsimulationDatebooleant
asimenv.plottingtemperaturebooleannil
asimenv.plottingvariablesbooleannil
asimenv.plottingscalarOutputsbooleannil
asimenv.plottingiconsbooleant
asimenv.plottingfontSizeint11
asimenv.plottingwidthint564
asimenv.plottingheightint428
asimenv.plottingxint577
asimenv.plottingyint373
asimenv.plottingdisplayModecyclic"auto"
asimenv.plottingstripModeTypecyclic"auto"
asimenv.plottingimmediatePrintbooleant
asimenv.plottingprintInlinesbooleant
;Command-Type-In Window
asimenv.windowwidthint650
asimenv.windowheightint275
;Parametric Analysis
asimenv.paraplotdeleteDataWhenStoppedbooleannil
;MonteCarlo
asimenv.monteseedValuestring"1"
asimenv.montemcStateDirstring""
asimenv.montemcStateFilestring""
;Miscellaneous
asimenv.miscsaveDefaultsToOCEANbooleannil
asimenv.miscnumberOfSavedRunsint0
asimenv.miscbrowserCenterModebooleannil
asimenv.miscoceanScriptFilestring"./oceanScript.ocn"
asimenv.miscparaplotUpdateSimulatorLogbooleannil
asimenv.miscdoNotDeleteCornersDatabooleannil
asimenv.userPrefprintCommentCharstring"#"
;Noise summary options
asimenv.noiseSummarydigitsint6
asimenv.noiseSummarypercentDecimalsint2
;obsolete simulator startup form variables
asimenv.startupsessionNamestring"schematic"
asimenv.startuplibNamestring""
asimenv.startupcellNamestring""
asimenv.startupviewNamestring""
asimenv.startupversionNamestring""
asimenv.netlistobsoleteWarningsint1
;Distributed Processing
;Please do not add any options other than for Artist Distributed
;below this point.
;asimenv.startup hostMode - value of 'distributed' enables distributed processing
asimenv.distributedexternalServerbooleannil
;If set non-nil, the job server is started remotely.
asimenv.distributedautoJobSubmitbooleannil
;If set non-nil, job setup form is NOT presented to user at job submit time.
asimenv.distributedshowMessagesbooleant
;If set non-nil, a message is displayed in the CIW or OCEAN terminal
;when a job is completed.
asimenv.distributedqueueNamestring""
;Sets the default queue name. If unspecified, system default is used.
asimenv.distributedhostNamestring""
;Sets the default host name. If unspecified, host is automatically selected.
asimenv.distributedstartTimestring""
;Sets the default start time for a job (in 24 hr. format). If unspecified, job runs immediately.
asimenv.distributedstartDaycyclic"today"
;Sets the default start day for a job. If `today', then job will always run on the same day it is submitted
asimenv.distributedexpTimestring""
;Sets the default expiration time for a job (in 24 hr. format). If unspecified, expiration time
;is based on the value of the `timeLimit' variable.
asimenv.distributedexpDaycyclic"today"
;Sets the default expiration day for a job. If `today', then job will always run on the same day it is submitted
asimenv.distributedtimeLimitcyclic"none"
;Sets the default time limit for a job. If `none', then no time limit is imposed.
;If `unspecified', then expiration time is based on value of `expTime' and `expDay' variables.
asimenv.distributedemailNotifybooleant
;If set non-nil, email notification is provided following job termination.
asimenv.distributedmailTostring""
;Sets the default list of users who will receive job termination notification email.
;If unspecified, and emailNotify is t, then default value is the user's id.
asimenv.distributedlogsInEmailbooleant
;If set non-nil, stdout and stderr logs will be included in the termination email.
asimenv.distributedstateFilestring"~/.adpState"
;Sets the filename containing the job server's state.
asimenv.distributeddaysBeforeExpireint3
;Specifies the number of days after which terminated jobs will be deleted from
;the job server.
asimenv.distributedblockbooleannil
;If set non-nil, the process will be blocked until the job has completed.
asimenv.distributedcopyModebooleannil
;If set non-nil, the input data for the job is copied to /tmp on the execution host,
;the job is run there locally (i.e. no network read/write), and the output data is
;copied back to the submission host.
asimenv.distributedcopyModeDirstring"/tmp"
;The directory, relative to the execution host, that will be used for setting up the
;working directory of a copy mode job.
asimenv.distributedloginShellcyclic"none"
;Specifies login shell for the job. If `none', then the user's local environment
;is copied over to the execution host and used as the job's environment.
asimenv.distributednumOfTasksint0
;Specifies the default number of tasks a job should be broken into.
;This is used by the Monte Carlo tool.
;If zero, then number of tasks is bases on queue and/or host settings
asimenv.distributedjobArgsInOceanScriptbooleannil
;Indicates job arguments should be added to run commands when
;OCEAN script is generated.
asimenv.distributedputtogetherqueuestring""
;Used to specify the queue to be used for Put Together Job
asimenv.distributedcopyNetlistbooleannil
;Used to specify whether netlist directory needs to be copied from execution
;host to submission host. This may be required if during simulation, some files
;are generated under netlist dir
;Used to specify whether to remove the job from DP database after job completion.
;So that, if set to t, the same job name is used repeatedly.
;Used to specify whether list option for specifying hosts needs to be displayed
;in Job Submit Form. If set to nil the list option will not be displayed.
;Used to specify the default value for Shell_Cmd_at_Finish as available in job
;submit form.
asimenv.distributedlogsDirstring"/tmp"
;Used to specify the directory where the log files would be generated
asimenv.distributedmailAllLogsbooleannil
;Used to specify whether to send the log files in mail for all the tasks
;If set to t, log files would be mailed for each task and a summary mail would be
;sent after completion of all the tasks. If set to nil just a summary mail would be
;sent after completion of all the tasks
asimenv.distributedlogFilestring"~/cdsDPSetupChk.log"
asimenv.distributedclockSyncbooleannil
asimenv.distributedlsfResourceStringstring""
;Used to specify the Default LSF Resource String
asimenv.distributeddonotCopyCorebooleant
;Used to specify whether DP need to filter the core files while copying the directories
;If set to t then all the files with name starting from core will be filtered out
;If set to nil then all the files will be copied
;Used to specify the Default DRMS Command
asimenv.distributeduserDefinedJobNamestring""
;Used to specify User Defined Job Name string
;to allow user to override default name `Job'
asimenv.distributedbypassNetlistbooleannil
;If this variable is set then in distributed mode, the netlisting step is not
;done for all the tasks of a multitask job.
asimenv.distributedlogsInPsfbooleannil
;If this variable is set, then the stdout and stderr log files will be stored
;in the psf directory corresponding to the task
asimenv.distributedqueueNameExclusionListstring""
;List of queue names that are not to be shown/used while running
;DP jobs
; Copyright (C) 1991
; Cadence Design Systems, Analog Division
; All Rights Reserved.
; $Source: /cvsrep/group/artist/src/auCdl/cdsenv,v $
; $Author: cvsadm $
; $Revision: 1.1 $
; $Date: 2003/10/06 04:16:43 $
; $State: Exp $
;auCdl Environment Variables
;For cell-view to cell-view:
auCdl.envOptsdefaultSubcircuitCallstring"ansCdlSubcktCall"
;auCore Environment Variables
;Note: The tool registration file should not be edited.
;Customization should be based on the file in /samples/.cdsenv.
;Tool Filter list of simulators
auCore.toolFiltertoolListstring"spectre spectreS cdsSpice ams auCdl auLvs hspiceS hspiceD UltraSim "
;Tool Filter list of simulators selected by default
auCore.toolFilterdefaultToolsstring"spectre spectreS auCdl auLvs"
;Tool Filter variable to enable auto update
auCore.toolFilterautoUpdatebooleannil
auCore.toolFilterignoreSchModifiedbooleannil
;Logic Levels form variables
auCore.voltageLevelshighlayercyclic"y2"
auCore.voltageLevelsmidlayercyclic"y4"
auCore.voltageLevelslowlayercyclic"y6"
auCore.voltageLevelshighfloat2.0
auCore.voltageLevelslowfloat0.8
auCore.voltageLevelstypestring"DC"
auCore.voltageLevelstimefloat0.0
;The following variable indicates the release to which the Artist cdsenv
;variables are compliant. Set to the current release to disable
;automatic variable detection/conversion of user's .cdsenv file.
auCoreartVarVerstring""
auCore.miscupdateCDFtermOrderbooleannil
auCore.miscqueryCDFtermOrderbooleant
auCore.misccreateCDFtermOrderbooleant
auCore.misclabelDigitsint0
auCore.miscvaTemplateScriptstring""
auCore.userPrefprintNotationcyclic"suffix"
;Label font size used to highlight selected terminal
auCore.selectiontermFontSizefloat0.25
auCore.selectionalwaysSelectLayoutNetsbooleannil
; Copyright (C) 1991
; Cadence Design Systems, Analog Division
; All Rights Reserved.
; $Source: /cvsrep/group/artist/src/auLvs/cdsenv,v $
; $Author: cvsadm $
; $Revision: 1.1 $
; $Date: 2003/10/06 04:17:12 $
; $State: Exp $
;auCdl Environment Variables
;For cell-view to cell-view:
auLvs.envOptsdefaultSubcircuitCallstring"ansLvsCompPrim"
;Calculator environment variables
;FORMAT: tool[.partition] varName varType default private {choices,min/max}
;calculator modes
calculatormodecyclic"RPN"
calculatoruimodecyclic"standard"
calculatorevalbooleannil
calculatordstackbooleannil
calculatoroldexprbooleant
calculatorbrowserOldExprbooleannil
;clip special function variables
calculator.clipfromstring""
calculator.cliptostring""
;value special function variables
calculator.valueatstring""
calculator.valuemulticyclic"single"
calculator.valueperiodstring""
calculator.valuexNamecyclic"time"
calculator.valuehistoDisplaycyclic"no"
calculator.valuehBinNostring"1"
;x value at maximum special function variables
calculator.xmaxnthstring"1"
;x value at minimum special function variables
calculator.xminnthstring"1"
;ipn special function variables
calculator.ipnspurOrderstring"3"
calculator.ipnrefHarmonicstring"1"
calculator.ipnspurHarmonicstring"1"
calculator.ipnextPointstring"0"
calculator.ipnrefOrderstring"1"
;ipnVRI special function variables
calculator.ipnVRIspurHarmonicstring""
calculator.ipnVRIrefHarmonicstring""
calculator.ipnVRIordspurstring""
calculator.ipnVRIepointstring""
calculator.ipnVRIrportstring""
calculator.ipnVRImeasurePromptcyclic"Input Referred IPN"
calculator.ipnVRIpowerSwPromptcyclic"Variable Sweep"
calculator.ipnVRImeasurestring""
calculator.ipnVRIpsweepstring""
;phaseNoise special function variables
calculator.phaseNoisenthstring"0"
calculator.phaseNoisenoiseResNamstring"pnoise.pss"
;compression special function variables
calculator.compressionnthstring"0"
calculator.compressionxstring"0"
calculator.compressioncompressstring"1"
;ipnVRI special function variables
calculator.compressionVRIharmonicstring""
calculator.compressionVRIepointstring""
calculator.compressionVRIrportstring""
calculator.compressionVRIgcompstring""
calculator.compressionVRImeasurePromptcyclic"Input Referred Compression"
calculator.compressionVRImeasurestring""
;harmonic special function variable
calculator.harmonicnthstring"0"
calculator.harmonicspurHarmonicstring"1"
calculator.harmonicrefHarmonicstring"1"
;harmonicFreq special function variable
calculator.harmonicFreqnthstring"1"
;tangent special function variables
calculator.tangentxstring"0"
calculator.tangentystring"0"
calculator.tangentslopestring"1.0"
;discrete fourier transform special function variables
calculator.dftfromstring""
calculator.dfttostring""
calculator.dftsamplesstring"64"
calculator.dftwindowNamecyclic"Rectangular"
calculator.dftsmoothfloat1.0
calculator.dftcgtypecyclic"(none)"
calculator.dftcohGainfloat1.0
;discrete fourier transform baseband special function variables
calculator.dftbbfromstring""
calculator.dftbbtostring""
calculator.dftbbsamplesstring"64"
calculator.dftbbwindowNamecyclic"Rectangular"
calculator.dftbbsmoothfloat1.0
calculator.dftbbcgtypecyclic"(none)"
calculator.dftbbcohGainfloat1.0
calculator.dftbbspectrumTypecyclic"SingleSided"
calculator.psdfromstring""
calculator.psdtostring""
calculator.psdsamplesstring"512"
calculator.psdwindowNamecyclic"Hanning"
calculator.psdsmoothfloat1.0
calculator.psdcgtypecyclic"(none)"
calculator.psdcohGainfloat1.0
calculator.psddetrendingcyclic"None"
calculator.psdwindowSizestring"256"
calculator.psdbbfromstring""
calculator.psdbbtostring""
calculator.psdbbsamplesstring"512"
calculator.psdbbwindowNamecyclic"Hanning"
calculator.psdbbsmoothfloat1.0
calculator.psdbbcgtypecyclic"(none)"
calculator.psdbbcohGainfloat1.0
calculator.psdbbdetrendingcyclic"None"
calculator.psdbbwindowSizestring"256"
;total harmonic distortion special function variables
calculator.thdfromstring""
calculator.thdtostring""
calculator.thdsamplesstring"64"
calculator.thdfundamentalstring""
;threshold crossing special function variables
calculator.crossvaluestring"2.5"
calculator.crossnthstring"1"
calculator.crosstypecyclic"either"
calculator.crossmulticyclic"single"
calculator.crossxNamecyclic"time"
;root value special function variables
calculator.rootvaluestring"2.5"
calculator.rootnthstring"1"
;fourier evaluation special function variables
calculator.fourEvalfromstring""
calculator.fourEvaltostring""
calculator.fourEvalbystring""
;convolution special function variables
calculator.convolvefromstring""
calculator.convolvetostring""
calculator.convolveincrcyclic"linear"
calculator.convolvebystring""
;threshold delay special function variables
calculator.delayvalue_astring"2.5"
calculator.delaynth_astring"1"
calculator.delaytype_acyclic"either"
calculator.delayvalue_bstring"2.5"
calculator.delaynth_bstring"1"
calculator.delaytype_bcyclic"either"
calculator.delayperiod_astring"1"
calculator.delayperiod_bstring"1"
calculator.delaymulticyclic"single"
calculator.delayxNamecyclic"trigger time"
calculator.delayhistoDisplaycyclic"no"
calculator.delayhBinNostring"1"
;bandwidth special function variables
calculator.bandwidthdbstring"3"
calculator.bandwidthtypecyclic"low"
;rise time special function variables
calculator.riseTimeinitialstring""
calculator.riseTimeitypecyclic"y at x"
calculator.riseTimefinalstring""
calculator.riseTimeftypecyclic"y at x"
calculator.riseTimetheta_astring"10"
calculator.riseTimetheta_bstring"90"
calculator.riseTimemulticyclic"single"
calculator.riseTimexNamecyclic"time"
calculator.riseTimehistoDisplaycyclic"no"
calculator.riseTimehBinNostring"1"
calculator.freqcrossTypecyclic"rising"
calculator.freqmodecyclic"auto"
calculator.freqthresholdstring""
calculator.freqxNamecyclic"time"
calculator.freqhistoDisplaycyclic"no"
calculator.freqhBinNostring"1"
calculator.d2avprevSTARTstring"(vhi + vlo)/2.0"
;slew rate special function variables
calculator.slewRateinitialstring""
calculator.slewRa