11
Practical use of hard mask process to fabricate fine photomasks for 45nm node and beyond Yasuyuki Kushida, Hitoshi Handa, and Hiroshi Maruyama, Fujitsu Ltd., 2-2-1 Fukuoka, Fujimino, Saitama, Japan 356-8507 Yuuki Abe, Yukihiro Fujimura, and Toshifumi Yokoyama, Dai Nippon Printing Co., Ltd., 2-2-1 Fukuoka, Fujimino, Saitama, Japan 356-8507 ABSTRACT New process with hard-mask (HM) blanks was evaluated as one of candidates for photomasks beyond 45nm-node. Through the fabrication of gate-layer photomasks, aptitude of the HM process for practical use was confirmed from the view of controllability on CDs and defects. Although conventional process for attenuated PSM was shown to have critical CD error which belongs to the “patterns” in bright- field masks, experimental data proved effectiveness of the HM process to control CDs after process optimization. With the HM blanks, remarkable reduction of CD error more than 80% of conventional process was confirmed. In this report, peculiar opaque defects are also shown to be a critical issue on the HM process. From results of design of experiment (DOE), combining the proper means to prepare the HM blanks with the optimized HM etching condition, these defects were proved to be controlled within the tolerance for production. Through the investigations, validity of the HM process on practical use for mask fabrication of 45nm-node and beyond is considered as conclusions. 1. Introduction As well known, advanced photomasks beyond 45nm-node require quite strict CD control. Especially, poor CD controllability of bright-field masks for gate-layer is recognized as one of the most critical issues to be overcome for their production. Major part of CD errors in the bright-field masks has been made during Cr dry-etching process. In general, CD shift by dry-etching depends on Cr load, what is called “loading effect”. Lower etching selectivity in bright-field masks makes the etching bias larger and the situation much worse. Fig.1 is a typical example of Cr etching bias in bright field mask that shows the strong dependency on pattern Continues on page 3. Figure 1. Dependency of Cr etching bias on pattern pitch (through-pitch). PHOTOMASK PHOTOMASK BACUS—The international technical group of SPIE dedicated to the advancement of photomask technology. INDUSTRY BRIEFS For new developments in technology —see page 10 DECEMBER 2007 VOLUME 23, ISSUE 12 CALENDAR For a list of meetings —see page 11 N • E • W • S TAKE A LOOK INSIDE:

BACUS Newsletter 12-07 v3 - SPIEspie.org/Documents/Membership/BacusNewsletters/BACUS-Newsletter... · Practical use of hard mask process to fabricate fi ne photomasks for 45nm node

  • Upload
    others

  • View
    3

  • Download
    0

Embed Size (px)

Citation preview

Page 1: BACUS Newsletter 12-07 v3 - SPIEspie.org/Documents/Membership/BacusNewsletters/BACUS-Newsletter... · Practical use of hard mask process to fabricate fi ne photomasks for 45nm node

Practical use of hard mask process to fabricate fi ne photomasks for 45nm node and beyondYasuyuki Kushida, Hitoshi Handa, and Hiroshi Maruyama, Fujitsu Ltd., 2-2-1 Fukuoka, Fujimino, Saitama, Japan 356-8507

Yuuki Abe, Yukihiro Fujimura, and Toshifumi Yokoyama, Dai Nippon Printing Co., Ltd., 2-2-1 Fukuoka, Fujimino, Saitama, Japan 356-8507

ABSTRACTNew process with hard-mask (HM) blanks was evaluated as one of candidates for photomasks beyond 45nm-node. Through the fabrication of gate-layer photomasks, aptitude of the HM process for practical use was confi rmed from the view of controllability on CDs and defects. Although conventional process for attenuated PSM was shown to have critical CD error which belongs to the “patterns” in bright-fi eld masks, experimental data proved effectiveness of the HM process to control CDs after process optimization. With the HM blanks, remarkable reduction of CD error more than 80% of conventional process was confi rmed. In this report, peculiar opaque defects are also shown to be a critical issue on the HM process. From results of design of experiment (DOE), combining the proper means to prepare the HM blanks with the optimized HM etching condition, these defects were proved to be controlled within the tolerance for production. Through the investigations, validity of the HM process on practical use for mask fabrication of 45nm-node and beyond is considered as conclusions.

1. IntroductionAs well known, advanced photomasks beyond 45nm-node require quite strict CD control. Especially, poor CD controllability of bright-fi eld masks for gate-layer is recognized as one of the most critical issues to be overcome for their production.

Major part of CD errors in the bright-fi eld masks has been made during Cr dry-etching process. In general, CD shift by dry-etching depends on Cr load, what is called “loading effect”. Lower etching selectivity in bright-fi eld masks makes the etching bias larger and the situation much worse. Fig.1 is a typical example of Cr etching bias in bright fi eld mask that shows the strong dependency on pattern

Continues on page 3.

Figure 1. Dependency of Cr etching bias on pattern pitch (through-pitch).

PHOTOMASKPHOTOMASKBACUS—The international technical group of SPIE dedicated to the advancement of photomask technology.

INDUSTRY BRIEFSFor new developments in technology—see page 10

DECEMBER 2007VOLUME 23, ISSUE 12

CALENDARFor a list of meetings—see page 11

N • E • W • S

TAKE A LOOK INSIDE:

Page 2: BACUS Newsletter 12-07 v3 - SPIEspie.org/Documents/Membership/BacusNewsletters/BACUS-Newsletter... · Practical use of hard mask process to fabricate fi ne photomasks for 45nm node

Page 2 Volume 23, Issue 12

N • E • W • S

Editorial

BACUS News is published monthly by SPIE for BACUS, the international technical group of SPIE dedicated to the advancement of photomask technology. Circulation 2600.

Managing Editor/Graphics Linda DeLano

Advertising Sue Siegfried

BACUS Technical Group Manager Pat Wight

■ 2007 BACUS Steering Committee ■

President Brian J. Grenon, Grenon Consulting

Secretary John Whittey, Vistec Semiconductor Systems, Inc.

Quarterly Meeting Chair Robert (Bob) Naber, Cadence Design Systems, Inc.

2008 Annual Photomask Chairs Hiroichi Kawahira, Sony Atsugi Technology Ctr. (Japan)

Larry S. Zurbrick, Agilent Technologies, Inc.

International Chair

Wilhelm Maurer, Infi neon Technologies AG (Germany)

Education Chair Wolfgang Staud, B2W Consulting

Newsletter Editors Artur Balasinski, Cypress Semiconductor Corp.

Warren Montgomery, Albany Nanotech

SponsorshipsSusan Siegfried, SPIE Sponsorship Consultant

Members at Large Frank E. Abboud, Intel Corp.

Michael D. Archuletta, RAVE LLC Uwe Behringer, UBC Microelectronics (Germany)Ute Buttgereit, Carl Zeiss SMS GmbH (Germany)

Chris Constantine, Oerlikon USA Inc.Thomas Faure, IBM Corp.

Gregory K. Hearn, SCIOPT EnterprisesGregg A. Inderhees, KLA-Tencor Corp.Kurt Kimmel, IBM Microelectronics Div.

Paul Leuhrmann, ASMLMark Mason, Texas Instrument Inc.

John A. Nykaza, Toppan Photomask, Inc.Christopher J. Progler, Photronics, Inc.

Douglas J. Resnick, Molecular Imprints, Inc.J. Tracy Weed, Synopsys, Inc.

Larry S. Zurbrick, KLA-Tencor Corp.

©2007 Society of Photo-Optical Instrumentation Engineers.

All rights reserved.

P.O. Box 10, Bellingham, WA 98227-0010 USATel: +1 360 676 3290Fax: +1 360 647 1445

[email protected]

On the right placeWilhelm Maurer, Infi neon Technologies AG (Germany)

Among all the discussions about double patterning as the last resource of optical lithography before fi nally yielding to NGL, one aspect has received—in my humble opinion—far too little attention: Pattern place-ment on reticles. This reminds me to a very similar situation almost 20 years ago when lithography crossed the 1μm line. Then everybody made sure to provide their input to the fi erce discussions about when, how, and with whose money x-ray would fi nally replace optical lithography. But in the background, a rather small group of engineers recognized the immediate need for a signifi cant improvement in pattern placement ac-curacy on reticles, and stepped up to the task to take that roadblock out of progress’ way. Let me share with you in the following some thoughts on that matter! [In order not to offend anybody by not mentioning her or him, I will avoid any name; if interested, search the SPIE Proceedings of 1988, especially of those from the BACUS symposia.]

The state-of-the-art tool for mask exposure of the late 1980s came with a built-in control feature for mask overlay. Writing reticles with an overlay error of 200 nm or somewhat below worked quite well. But the different strategies of mask houses to make masks with <150 nm overlay error were much more a piece of art than of science. There was also an independent measurement tool. Unfortunately, comparisons involving tools at different sites suggested that already the measurement errors were at least 75 nm. Complex concepts of ‘mask overlay’ were used to keep the mask contribution to the overlay budget of lithography, which (even today) is rather diffi cult to extract by measurement, within the specifi ed limits.

Into this consistent, almost stagnant situation, a metrology company came up with a new tool. Besides a thorough rework of all components, this tool applied a revolutionary way to clamp the reticle during measure-ment by actually not clamping it: The reticle was supported on three points, held there only by gravity, but allowed to move and bend freely as gravity and internal stress made it do. Together with the idea to measure the amount of bending, to model it, and to then force the measurement surface into fl atness by ‘mathematical brute force’, the measurement errors could be reduced down to 15 nm. Within a relative short time, all the complex schemes to defi ne ‘overlay’ could be abandoned in favor of a well-defi ned pattern placement error relative to a nominal grid. Finally, also the developers and users of the state-of-the-art mask writer could be convinced to re-consider the mask clamping strategy during mask writing. Reticles with <100 nm pattern placement error became a standard product.

From that time until today, the development of pattern placement on masks has evolved more evolutionary: Tools have been improved in hard- and software, and metrology strategies have been optimized. But this pace most likely will not provide the drastic decrease of the pattern placement errors, as it is requested by double exposure. Some people already have again come up with rather complicated strategies of overlay, rather similar to what ‘the establishment’ did 20 years ago. On the other hand, there is also indication of a new tool concept under development by a new supplier. It will be interesting to watch who by which methods will take on this classical engineering challenge of mask making, and how these people will succeed—which they surely will!

N • E • W • S

Page 3: BACUS Newsletter 12-07 v3 - SPIEspie.org/Documents/Membership/BacusNewsletters/BACUS-Newsletter... · Practical use of hard mask process to fabricate fi ne photomasks for 45nm node

Volume 23, Issue 12 Page 3

N • E • W • S

pitch caused by “micro-loading effect” of Cr etching.Fig.2 shows an analytical result of CD error components in the

gate-layer photomasks fabricated in our production line. In this fi gure, CD error is classifi ed into three categories, “Patterns”, “XY”, and “Uniformity”. It is clear that error on “Patterns”, CD difference among each pattern category (isolated-line, dense-line, SRAF, and so on), is the major part of the total error. As mentioned above, this error results from the property of Cr dry-etching which shows dependency on the pattern pitch. It is clear that error on “Patterns” should be much reduced to realize high CD controllability in brightfi eld masks. This is equivalent to eliminate the error on Cr etching due to “loading effect”.

Our goal in this study is to give a solution to the above “Patterns” issue for fabrication of fi ne photomasks beyond 45nm-node. In this report, new process using mask blanks with hard-mask (HM) layer was evaluated as one of promising techniques for this purpose.

There are several kinds of HM blanks proposed as materials for advanced photomasks. In this report, HM blanks based on MoSi attenuated PSM (attPSM) with TF-11 from HOYA were used. In-vestigations on their suitability for practical fabrication of gate-layer masks were performed from the view of controllability both on CDs and defects. Through the fabrication results, potential abilities of the new process were examined.

2. Outline of HM processStructure of the HM blank used in this report is illustrated in Fig.3. Characteristic of the blank is its simple structure that inorganic HM layer is deposited on conventional Cr fi lm, TF-11 (HOYA). In this report, MoSi based attPSM blanks were used for evaluation of CDs and defects because of their many actual results as a mask blank for ArF lithography.

Advantages of the HM blanks in mask fabrication are summarized as below,• High etching durability of the HM layer against Cl2/O2 plasma

enables to etch Cr fi lm with high anisotropic conditions. This property is expected to reduce Cr etching bias and improve CD controllability.

• Design fl exibility of the HM layer in fi lm thickness and etching rate enables to adopt thin resist fi lm less than 200nm. This property is expected to improve pattern resolution.

Process-fl ow of mask fabrication using HM blank is illustrated in Fig.4. HM blank is coated with thin resist fi lm. Resist patterns are developed after conventional EB writing and PEB process. Any special treatments are not required in the resist process. After development, HM layer is etched by fl uorine plasma using the resist-mask. At this step, Cr fi lm under the HM layer is hardly etched because of its high etching durability against fl uorine plasma. Cr dry-etching follows resist strip. At this step, Cr fi lm is etched through the HM patterns

using Cl2/O2 plasma. In this case, HM patterns act as perfect masks for Cr etching by their etching durability. HM layer is removed in fol-lowing MoSi etching step using fl uorine plasma at the same time. At this step, etching of the HM layer affects neither MoSi CD nor phase shift, because the HM layer is designed to be etched quite faster than MoSi. Unnecessary Cr fi lm on MoSi is removed in fi nal step.

3. Experimental conditionsTo evaluate performance of HM process on CDs and defects, several test plates were fabricated with the assumption of practical mask pro-duction. Two kinds of MoSi attPSM blanks of HOYA (A61A-TF11), both with and without HM layer, were prepared. Thin Nega-CAR (NCAR) fi lm was coated on the HM blank for fi ne pattern resolution. Thin resist fi lm coating on the conventional blank is hard to be adopted, because of much resist erosion during Cr etching. Fig.4 illustrates the process fl ow of mask fabrication in this study.

Pattern inspections on CDs and defects were executed to verify whether the HM process had an aptitude for practical use or not. CD performance was checked with CD-SEM, LWM9000 (Vistec). Defect inspections were performed using LM7000B (NEC).

4. Results and discussions

4.1 CD performanceAs a fi rst step, dry-etching condition was optimized for bright-fi eld masks, using the HM blanks coated with thin NCAR. Following in this section shows CD performance of the bright-fi eld masks fabricated by the HM process. Reference data (Ref.) in this section means the results of conventional process using MoSi based attPSM blanks without HM layer.

4.1.1 Dry etching propertiesFig.5 shows the comparison of total etching bias between the two plates fabricated with the HM and the conventional (Ref.) process. In this fi gure, etching bias of each line pattern is normalized by result on the Ref. plate. In case of the HM process, remarkable reduction of etching bias as much as 80% of the Ref. plate is clearly seen. Moreover, dramatic decrease of iso/dense bias is also confi rmed. This property is expected to improve CD results on through-pitch.

MoSi CD property on through-pitch is shown in Fig.6. Through-pitch was measured on the 260nm lines for the patternpitch more than 360nm. Vertical axis in this fi gure means deviation of measured CD from target value (260nm). As clearly seen in this fi gure, the Ref. plate shows much steeper increase of CD value in the region of narrow pattern-pitch. CD range of the Ref. plate was 9.9nm for the space width from 2000 to 200nm. On the other hand, much reduction of

Figure 2. Analytical result of CD error components in gate-layer photomasks for 45nm-node.

Figure 3. Structure of the HM blank.

Continues on page 4.

Continued from cover.

Page 4: BACUS Newsletter 12-07 v3 - SPIEspie.org/Documents/Membership/BacusNewsletters/BACUS-Newsletter... · Practical use of hard mask process to fabricate fi ne photomasks for 45nm node

Page 4 Volume 23, Issue 12

N • E • W • S

Table 1. Combination of process conditions in DOE.

CD range was confi rmed in the HM plate. With the HM process, CD through-pitch was reduced to 3.2nm in this case.

Fine pattern resolution of dense-line for the each plate was ob-served with CD-SEM. This result is summarized in Fig.7. As seen in this fi gure, using the HM plate can improve pattern resolution down to 60nm. Combination of reduced etching bias with thin NCAR in this process gave this result.

4.1.2 CD result of 45NM-node gate layerAs described above, dry-etching properties on bright-fi eld masks can be improved dramatically with the HM process. For the next step, trial fabrications of the photomasks for 45nm-node gate-layer were accomplished to investigate the above effects on CDs, such as through-pitch and CD difference among each pattern category.

Fig.8 shows the through-pitch results in the gate-layer mask. CDs were measured for the lines with SRAF whose target sizes were from 280nm to 400nm. Minimum pattern-pitch was 520nm in this case. Values on the vertical axis mean CD deviation as Fig.6. From this fi gure, CD range in the Ref. plate is evaluated as 7.0nm. This result was caused by the CD deviation between isolated-line and the dense-line of medium pattern-pitch. In the HM plate, this CD deviation was almost removed. This result is understood from the CD data in Fig.6.

CD deviations among different pattern categories were also inves-tigated. CD of ten kinds of pattern categories, such as Iso/Dense-Line, SRAF, and line-tip and so on, were measured with CD-SEM. CD of each category was evaluated using the average of fi ve points’ data within the plate. Evaluated pattern categories are illustrated in Fig.9.

Fig.10 shows CD variation depending on the pattern categories. In the Ref. plate, peculiar deviations at pattern-d, -h, and -j, were observed. They correspond to the outer SRAF, isolated-line without SRAF, and neighbored line-end, respectively. On the other hand, no peculiar deviation was observed for the HM plate. CD range among all pattern categories was 10.6nm for the Ref. plate and 1.7nm for the HM plate, respectively. This result implies that CD errors depend-ing on the device patterns in bright-fi eld masks are almost vanished through the HM process.

4.1.3 Discussion on CD performanceAs shown in above sections, CD performance of bright fi eld mask could be much improved with HM process.

High etching durability of HM layer helped to control Cr etching bias

without shrinking of the mask patterns for etching. Moreover, quite thin HM layer less than 10% of conventional resist fi lm was also effective to prevent CD deviation due to “micro-loading effect”, because of lower aspect ratio in the line patterns with narrow pitch.

HM blanks enabled to adopt the Cr etching condition of high an-isotropy which had been hardly permitted to the conventional NCAR blanks. Adoption of this condition was also effective to reduce etching bias and repress the loading effect.

In conventional process, pattern resolution had been limited due to collapse of resist patterns during the development. Use of the HM blanks realized the etching process with thin resist fi lm which was also effective to prevent the collapse due to high aspect ratio and improve pattern resolution.

As explained, issue on the CD controllability can be solved with the HM process. CD error caused by “Patterns” was reduced so much that capability of the process was proved to be high enough to fabricate gate-layer photomasks for 45nm-node.

4.2 Defect densityAs well-known, fabrication of advanced photomasks is required high controllability of defects as well as CDs. In following sections, results on defect inspection of the HM plate and process trial to control the defects are described.

4.2.1 Issue for beyond 45NM-nodeAfter defect inspection on the HM plate, defects of peculiar mode had been often detected. Fig. 11 shows the SEM image of one of the defects. They were extended opaque defects smaller than 100nm

Figure 4. Process fl ow of mask fabrication using the HM blanks.

Continued from page 3.

Page 5: BACUS Newsletter 12-07 v3 - SPIEspie.org/Documents/Membership/BacusNewsletters/BACUS-Newsletter... · Practical use of hard mask process to fabricate fi ne photomasks for 45nm node

Volume 23, Issue 12 Page 5

N • E • W • S

Continues on page 8.

and had never been seen in the conventional process for attPSM with same resist. Considering the difference between the two processes, it was probable that combining of the NCAR with the HM blanks caused the defects.

Our investigations indicated that “scum” and “line edge roughness” of the NCAR had close relationship to the defects. In this case, scum of the resist acts as mask for the HM etching the same as the resist itself .It causes the above extension defects, when erosion of the scum during the process is insuffi cient. NCAR process, which leaves the scum easily on the HM layer, is probable to be an origin of the defects. It is no doubt that these defects are killer ones for the advanced masks beyond 45nm-node, and have to be removed perfectly.

To prevent the generation of defects, some means how to prepare the HM blanks had been examined. Three parameters, strength of adhesion between the NCAR and HM layer (Resist Adhesion Force), bake temperature after resist coating (Pre-Bake Temp.), and bake temperature after EB writing (PEB Temp.), were focused. Best com-bination of these parameters was examined to eliminate the above peculiar defects from HM plates.

4.2.2 Process optimization for defect reductionDesign of experiment (DOE) was planed to investigate the best condition of blank preparation. Table.1 shows the DOE table using three parameters with two levels in this experiment. As seen in this table, four test plates were prepared to check the effects of each combination on line edge roughness and count of the peculiar opaque defects. Layout of the test plate is illustrated in Fig.12. Die-to-die defect inspections were performed on the fi ve areas composed of 200nm dense lines. Each area was written with the different levels of EB dosage. This idea is useful to compare the effects of each parameter among the areas of same CD, considering variation of the resist sensitivity to bake temperature. In this experiment, line edge roughness was evaluated as 3 sigma of line width roughness (LWR) measured by CD-SEM.

Effect of each parameter is summarized in Fig.13. Variation of the defect count and LWR are converted into S/N ratio defi ned as below,

In this equation, N is the number of measurement data, and a1, a2, ···, aN correspond to each data, respectively. From the defi nition of S/N ratio, it takes larger value when the defect count or LWR is reduced and the average of squared data becomes smaller. Larger S/N ratio

means preferable effect in short.From the Fig.13 (a), both resist adhesion force and pre-bake tem-

perature are shown to be effective parameters to control the defects. Combination of lower adhesion force with higher pre-bake tempera-ture is expected to reduce defect count so much. On the other hand, PEB temperature shows negligible effect on defect count.

Fig.13 (b) shows the effect of the three parameters on control of LWR. As clearly seen, LWR is not almost affected by the parameters. This result implies that control of LWR by the three parameters is hardly expected its effect and other means should be done for this purpose.

From the minute consideration of the above results, one simple relationship among defect count and the process parameters was derived. This is expressed as below,

In this equation, coeffi cient a, b, c, and d are constants decided from experimental results. In this case, both a and b showed minus sign, while c showed plus. One noticeable relationship that defect count depends on the LWR is clearly seen in equation - (2). This relationship is summarized in Fig.14 (a) and (b). From comparison of the R2 value between Fig.14 (a) and (b), adding the effect of L/S LWR into the equation is proved to explain the results of defect count better. This result implies the importance of LWR control to reduce the defect count, as well as optimization of resist adhesion force and pre-bake temperature. Improvement of L/S LWR is a critical factor to reduce the defects, in other word. Based on the DOE results, both surface treatment of the blanks and pre-bake temperature were optimized to eliminate the scum of NCAR. L/S LWR was reduced through the re-examination of etching condition of HM layer. Fig.15 shows the defect inspection results on the bright-fi eld masks after process optimization. Vertical axis of the right side means normalized LWR value. As expected, defect count decreased along with reduction of LWR. After optimiza-tion of the HM etching, sample#5, only one defect was detected with the minimum LWR value. This result confi rmed that our new process enabled to control defects on the HM plate within the tolerance for practical fabrication of bright-fi eld masks.

4.2.3 Discussion on defect densityIn this study, process condition was optimized to reduce defect count of HM process to the level of tolerance for practical use. From

Figure 5. Comparison of total etching bias. Figure 6. Comparison of MoSi CD through-pitch.

(1)

(2)

Page 6: BACUS Newsletter 12-07 v3 - SPIEspie.org/Documents/Membership/BacusNewsletters/BACUS-Newsletter... · Practical use of hard mask process to fabricate fi ne photomasks for 45nm node

Page 6 Volume 23, Issue 12

N • E • W • S

Figure 7. Comparison of SEM images of the dense lines of MoSi between the HM and Ref. plate.

Figure 8. Comparison of MoSi CD through-pitch between the HM and Ref. plate.

Figure 9. Pattern categories for CD evaluation.

Figure 10. Comparison of CD deviations between each category.

Page 7: BACUS Newsletter 12-07 v3 - SPIEspie.org/Documents/Membership/BacusNewsletters/BACUS-Newsletter... · Practical use of hard mask process to fabricate fi ne photomasks for 45nm node

Volume 23, Issue 12 Page 7

N • E • W • S

Figure 11. Peculiar defects of the HM process.Figure 12. Layout of the test plates.

Figure 13. Effect of each process factor on (a) Defect count, (b) L/S LWR.

Figure 14. Relationship between practical defect count and its prediction, (a) without L/S LWR, (b) with L/S LWR.

Page 8: BACUS Newsletter 12-07 v3 - SPIEspie.org/Documents/Membership/BacusNewsletters/BACUS-Newsletter... · Practical use of hard mask process to fabricate fi ne photomasks for 45nm node

Page 8 Volume 23, Issue 12

N • E • W • S

the DOE results, combination of proper preparation of HM blanks with optimized HM etching confi rmed its effectiveness to control the defects.

As for the preparation of the HM blanks, surface treatment before resist coating was one of critical factors to control the adhesion force of NCAR on the HM layer. On the other hand, bake temperature after resist coating was assumed that it could control solubility of the NCAR to the developer. Best combination of these parameters was probably useful to suppress the generation of the scum without

collapse of resist patterns.L/S LWR, which did not depend on how to prepare the blanks, was

experimentally proved to be another critical factor for defect reduc-tion. Re-examination of the HM etching was effective to reduce LWR. Increase of resist erosion within the tolerance of CD variation was a key factor to improve the LWR and eliminate the peculiar defects in the HM plate. With the optimized etching condition, defect count could be reduced to the level of practical use for mask fabrication.

Figure 15. Transition of defect count and LWR along with the process optimization.

Leverage ExperienceSPIE is a highly respected, not-for-profi t international society well

known for its interdisciplinary coverage of optics

and photonics research, related technologies and their many

applications. SPIE Digital Library currently contains nearly

247,000 papers with 17,000 added each year. This

extensive research tool is an essential resource offering your

choice of technology alerts by newsfeed (RSS) or e-mail.

Powering PatentsWith their emphasis on applied technology and innovation,

35,000 SPIE papers have been cited in nearly 20,000 USPTO

patents, and the expansion rate of patents citing SPIE

papers is four times that of the overall growth rate of US

patents. In addition, SPIE literature is a respected source for

prior art patent research.

Subscription InformationFor complete information on how you and your organization

can become a subscriber, see SPIEDigitalLibrary.

org for options and contact information.

SPIEDigitalLibrary.org

PUBLISH YOUR WORK IN THE WORLD BODY

OF SCIENTIFIC LITERATURE

Distributed through leading scientifi c databases and indexes

Micro/Nanotechnology

Sensor Technologies

Biomedical Optics

Defense & Security

Your Trusted Source for the Science and Application of Light

Imaging

Communications

Lighting & Energy

Astronomy

Continued from page 5.

Page 9: BACUS Newsletter 12-07 v3 - SPIEspie.org/Documents/Membership/BacusNewsletters/BACUS-Newsletter... · Practical use of hard mask process to fabricate fi ne photomasks for 45nm node

Volume 23, Issue 12 Page 9

N • E • W • S

5. ConclusionsTo establish the method to fabricate the bright-fi eld photomasks beyond 45nm-node, aptitude of the new process using the HM blanks was evaluated from the two aspects, CDs and defects. It was confi rmed that dry-etching properties, such as etching bias and through-pitch, were much improved by the HM process with combination of thin NCAR with anisotropic Cr etching. As a result, CD difference among each pattern category in the gate-layer photomask was reduced from 10.6nm to 1.7nm.

Investigations on how to control the peculiar opaque defects in HM process were carried out through the DOE. It was found that reduc-tion of LWR was a critical factor to control the defects, in addition to blanks preparations in surface treatment of the HM and pre-bake temperature of the NCAR. With best combination of above conditions, detected defects were reduced to the level within the tolerance for practical fabrication.

Process with the HM blanks is a most powerful technique and ready for practical fabrication of gate-layer photomasks for 45nm-node, proving its ability to control CDs and defects. Process optimization on controllability of the extension defects will be a subject for the generation beyond 45nm-node. Another NCAR as substitution of the present one is expected to be a solution for this issue.

6. AcknowledgmentsWe would like to thank Mr. Y. Okubo and Mr. A. Kominato of HOYA Corporation for their technical support to this study. We would also like to thank Mr. Y. Miyahara and Mr. N. Ishiwata of Fujitsu Ltd., and Mr. T. Furukawa of Dai Nippon Printing Co., Ltd., for their meaningful advice to this study.

7. References1. Y. Abe., et al., Multi-layer resist system for 45nm-node and

beyond (III), Proc. of SPIE, Vol. 6349, 2006.2. Y. Fujimura., et al., Multi-layer resist system for 45nm-node and

beyond (II), Proc. of SPIE, Vol. 6349, 2006.3. M. Hashimoto., et al., Multi-layer resist system for 45nm-node

and beyond (I), Proc. of SPIE, Vol. 6349, 2006.

Page 10: BACUS Newsletter 12-07 v3 - SPIEspie.org/Documents/Membership/BacusNewsletters/BACUS-Newsletter... · Practical use of hard mask process to fabricate fi ne photomasks for 45nm node

Page 10 Volume 23, Issue 12

N • E • W • S

Industry Briefs

Sponsorship OpportunitiesSign up now for the best Photomask 2008 sponsorship opportunities. Contact:

Sue SiegfriedTel: +1 510 728 [email protected]

N • E • W • S

To receive e-mail announcements for these meetings, send an e-mail message to [email protected]; in the body of the message include the words subscribe info-bacus.

Advertise in the BACUS News!

The BACUS Newsletter is the premier publication serving the photomask industry. For information on how to advertise, contact:

Sue SiegfriedTel: +1 510 728 [email protected]

BACUS Technical Meetings

BACUS holds technical meetings in the Bay Area approximately every quarter, from 8:30 to 11:30 am. If you are interested in presenting a paper at this meeting, contact Robert (Bob) Naber, Cadence Design Systems, Inc., Tel: 510 814 0972; Email: [email protected]

BACUS Corporate Members

Aprio Technologies, Inc.ASML US, Inc.Brion Technologies, Inc.Coherent, Inc.Corning Inc.Gudeng Precision Industrial Co., Ltd.Hamatech USA Inc.Inko Industrial Corp.JEOL USA Inc.KLA-Tencor Corp.Lasertec USA Inc.Micronic Laser Systems ABRSoft Design Group, Inc.Synopsys, Inc.Toppan Photomasks, Inc.

■ DEL-ILT - A New Litho Paradigm?

Microlithography News - November 2007Amyn Poonawala, Peyman Milanfar from U. of California, Santa Cruz, and Yan Borodovsky of Intel Corp., proposed a superposition of two recent trends in pattering techniques: Double exposure + inverse lithography (DEL-ILT) which may become a new paradigm of aerial image decomposition. The two masks required for double exposure techniques can be designed using inverse lithography methods. The traditional optical proximity correction by fragmenting the design pattern and moving the fragmented edges until the output image coincides with the targeted image on the wafer, leads to complex and poorly predictable interactions, due to the dependences among illumination conditions, OPC generation, and mask error enhancement factor (MEEF). In contrast, inverse (computational) lithography (ILT) synthesizes the mask by mathematically inverting the forward imaging model from mask to wafer. It is an aggressive process from which robust RET solutions, having the potential to extend the life of exposure tools or reduce design complexity, might be derived. The supplementary technique, the double exposure lithography (DEL) uses two masks and illumination settings to print the circuit pattern in a single resist layer. Although ILT has been mostly explored for single exposure lithography, its potential for double exposure is still untapped. The masks are simultaneously synthesized to guarantee a superior contrast of the combined aerial image without worrying about the residual energies from the previous exposure. Unlike traditional Horizontal-Vertical decomposition that splits the binary target pattern, DEL-ILT proposes to split the gray-level aerial image into two overlapping parts. It also automatically resolves phase confl icts by realizing that the aerial image contrast in the phase-confl ict regions can be improved by splitting the information between the two masks. The combined aerial image has very good quality, and closely resembles the target pattern, but the resulting pixilated masks are complex and very non-intuitive. The DEL-ILT framework can be also extended to account for mask manufacturing constraints, mask-to-mask alignment error, process window conditions, etc. We hope that the new paradigm of aerial image decomposition will point to a solution path that enables patterning of random logic 2D features very close to the optical limit.

■ Masks for FPD - A Growing Challenge

Microlithography News - November 2007Elvino da Silveira, Frank Bok Namgung, Azores Corp., Wilmington, Massachusetts, and Griff Resor, Resor Associates, Boxborough, Massachusetts, discussed fl at panel displays (FPDs) which vary in dimension from less than one inch to many feet across and are made using photolithography and mask technology specialized for the substrates. Displays for mobile devices evolved from wafer lithography. The iPhone is one of many products that depend on fl at displays for their appeal. Mobile displays and table-top applications, such as LCD monitors and fl at screen TVs, share a common process ancestry, but now are moving in different directions, with very different production requirements. Flat TV displays are made on huge production lines. The glass sheets, which can contain four to eight TVs, are larger than a king size bed, but are only about 700μm thick. Very large step-and-scan lithography machines print 4.0μm features, one sheet in 70-90 sec, with very large $400,000 masks. A set of 10-12 masks is needed for a TV design. This works for $500-$1000 TVs screens but not for $10 cell phone 2.2-in.-sq. displays with frequent redesigns needed. Mobile displays use active matrix liquid crystal displays (AMLCDs). On the viewing side, the fi rst glass sheet has the color fi lter dots, and the second glass sheet, at the back side of the display, has a matrix of thin fi lm transistors (TFTs) on its inside surface, to control the display of color information. The array of TFTs is powered from the edge of the display by driver circuits on the glass next to the array. Between the two glass sheets is the LC, to control the transmittance of back light illuminating the display with two polarizers. For low mask costs it is best to use yesterday’s technology; 6-in. or 7.25-in. masks for mobile displays at $2000-$4000 each. However, to meet TACT demands, the stepper must make few shots and steps per glass sheet and each shot must cover a large area. The mask image is enlarged 1.25x, not reduced by 4x. To integrate low temperature polysilicon (LTPS) TFTs with driver circuits at the edge of the array, bus interfaces, shift registers, digital to analog converters (DACs), and touch sensors must fi t between the data lines. Resolution of 1.5μm is needed. Improvements in mobile display lithography tools may go in two directions. If plate sizes increase, image fi elds will need to increase to keep a 70-sec. TACT, perhaps by a greater enlargement ratio. But mobile display sizes are changing at a slow rate in a very narrow range between 1.8- and 2.2-in. At the same time, the excimer laser annealing to convert deposited α-Si to LTPS has been exceedingly diffi cult to scale up. Improving overlay, resolution, and throughput will enable higher resolution displays, 8-bit color, more drive electronics, and lower costs. A large effort to apply active matrix technology to fl exible (plastic) substrates is underway. If fl exible displays enjoy market success, remarkable new lithography challenges and opportunities will appear.

Page 11: BACUS Newsletter 12-07 v3 - SPIEspie.org/Documents/Membership/BacusNewsletters/BACUS-Newsletter... · Practical use of hard mask process to fabricate fi ne photomasks for 45nm node

Volume 23, Issue 12 Page 11

N • E • W • S

2008

The 24th European European Mask and Lithography Conference (EMLC 2008)

21-24 January Hilton HotelDresden, Germanywww.vde.com/Conferences_en/EMLC+2008/

SPIE Advanced Lithography

24-29 FebruarySan Jose McEnery Convention CenterSan Jose, California USAspie.org/al

Photomask Japan

16-18 April Hotel Pacifi co YokohamaYokohama, Japanwww.photomask-japan.org

Photomask Technology

6-10 October Monterey, California USAspie.org/photomask

C a l e n d a r

About the BACUS GroupFounded in 1980 by a group of chrome blank users wanting a single voice to interact with suppliers, BACUS has grown to become the largest and most widely known forum for the exchange of technical information of interest to photomask and reticle makers. BACUS joined SPIE in January of 1991 to expand the exchange of information with mask makers around the world.The group sponsors an informative monthly meeting and newsletter, BACUS News. The BACUS annual Photomask Tech-nology Symposium covers photomask technology, photomask processes, lithography, materials and resists, phase shift masks, inspection and repair, metrology, and quality and manufacturing management.

Join the premier professional organization for mask makers and mask users!

Corporate Membership Benefi ts include:■ One Voting Member in the SPIE General Membership

■ Subscription to BACUS News (monthly)

■ One online SPIE Journal Subscription

■ Exhibit Space discount of 8% at either the Photomask or Advanced Lithography Symposium

■ Listed as a Corporate Member in the BACUS Monthly Newsletterspie.org/bacushome

Individual Membership Benefi ts include:■ Subscription to BACUS News (monthly)

■ Subscription to Microlithography World(quarterly)

■ Quarterly technical meetings in the Bay Area

■ Reduced registration rates at BACUS Photomask Technology annual meeting

■ Eligibility to hold offi ce on BACUS Steering Committee

spie.org/bacushome

You are invited to submit events of interest for this calendar. Please send to lindad@spie.

org; alternatively, email or fax to SPIE.

SPIE is an international society advancing an interdiciplinary approach to the science and application of light.

International HeadquartersP.O. Box 10, Bellingham, WA 98227-0010 USATel: +1 888 504 8171 or +1 360 676 3290Fax: +1 360 647 [email protected] • SPIE.org

Shipping Address1000 20th St., Bellingham, WA 98225-6705 USA

2 Alexandra Gate, Ffordd Pengam, Cardiff, CF24 2SA, UKTel: +44 29 20 89 4747Fax: +44 29 20 89 [email protected] • www.spieeurope.org