62
August 20, 2018 Robert D. Clark, Alok Ranjan, Kandabara Tapily, Kai-Hung Yu, Jeffrey Smith, Angelique Raley, Sophie Thibaut, Subhadeep Kal, Daniel Newman, Steve Consiglio, David O’Meara, Kaoru Maekawa, Aelan Mosden, Anton deVilliers, Peter Biolsi, Trace Q. Hurd, Cory S. Wajda, Peter Ventzek and Gert J. Leusink TEL Technology Center, America, LLC Atomic Layer Processes to Enable the Atomic Scale Era

Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

  • Upload
    others

  • View
    1

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

August 20, 2018

Robert D. Clark, Alok Ranjan, Kandabara Tapily, Kai-Hung Yu, Jeffrey Smith, Angelique Raley, Sophie Thibaut, Subhadeep Kal, Daniel Newman, Steve Consiglio, David O’Meara, Kaoru Maekawa, Aelan Mosden, Anton deVilliers, Peter Biolsi, Trace Q. Hurd, Cory S. Wajda, Peter Ventzek and Gert J. Leusink

TEL Technology Center, America, LLC

Atomic Layer Processes to Enable the Atomic Scale Era

Page 2: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 2

Scaling Trend – Sub-lithographic Patterning

Complex and fine patterning technology is required for further scaling

0

20

40

60

80

100

0 20 40 60 80 100

Min

. Met

al P

itch

(nm

)

Contacted Gate Pitch (nm)

SADP

SADP

SE

SAQP

EUV

SE

N22

N10

N7

N5

Met

al P

itch

Poly Gate Pitch

193i

N14

Design layout change from 2D to 1D

1 mask 1 mask(SAMP)

n masks

Alignmentchallenge

CDchallenge

Page 3: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 3

Patterning Challenges

Page 4: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 4

What is Enabling Patterning?

Litho Etch ALD Etch ALD EtchEtch

Plasma trim and self-aligned multiple patterning (Etch, ALD) are foundation for cost effective scaling in foreseeable future

SADP SAQP

Page 5: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 5

The way we have been thinking, and…

Process Implications of Scaling

As the available device area continues to shrink, we are approaching fundamental limits

0

42.5

85

127.5

170

212.5

0 42.5 85 127.5 170 212.5

Min

. Met

al L

inew

idth

(ato

ms)

Patterned Gate Length (atoms)

N22

N10

N7

N5

N14

StochasticEffects

ProcessControl

N22

Page 6: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 6

Process Implications of Scaling

As the available device area continues to shrink, we are approaching fundamental limits

0

42.5

85

127.5

170

212.5

0 42.5 85 127.5 170 212.5

Min

. Met

al L

inew

idth

(ato

ms)

Patterned Gate Length (atoms)

N22

N10

N7

N5

N14

StochasticEffects

ProcessControl

the way we will need to start thinking.

Page 7: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 7

Increasing Number of Stochastic Variability Problems

Brainard SPIE 2004

Younkin SPIE 2015

Karner VSLI-TSA 2017

Asenov VLSI-TSA 2017

Page 8: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 8

Welcome to the Atomic Scale Era- There’s No Longer Plenty of Room at the Bottom.

Historical and Projected Atomic Feature Sizes (half pitch/0.235 nm/Si atom) in CMOS High Volume Manufacturing. Projected feature sizes are based on Intel historical trend through 14nm manufacturing.

Projected 10nm 10nm Actual*CGP 54 54MX 43 36Fin 33 34

Projected 10nm 10nm Actual*CGP 54 54MX 43 36Fin 33 34

*Mistry Intel TMD March 28, 2017

Scaling is Taking Longer…

But So Far it is Still Delivering.

Page 9: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 9

So What Happens When We Run out of Room at the Bottom?

We Do What We’ve Always Done: We Go UpSource: dailymail.co.uk

Page 10: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 10

Technology Trend: Going Vertical

Vertical utilization is the key approach to future Moore’s Law Style Scaling

High AR DRAM

3D NAND large stack

PlanarFinFET

Nano-wire 3D Architectures

3D Devices 2016 IRDS More Moore

Monolithic 3D

Vinet, M. ESSDERC 2016

3D

Page 11: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 11

Technology Trend: Self-(Something) Revolution

Mircea and Hsu MPT Short Course SPIE 2015 Auth VLSI 2014

Younkin SPIE 2015

Self-Aligned Double Patterning Self-Aligned Contact

Säynätjoki 8 May 2012, SPIE Newsroom. DOI: 10.1117/2.1201204.004218

Atomic Layer Deposition

Atomic Layer Etching

Self-Aligned

Self-Directed

Self-Limited

Carver, T. ECS J. Solid State Sci. Technol. 2015 volume 4, issue 6, N5005-N5009. . doi: 10.1149/2.0021506jss

Page 12: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 12

Kinetics of Adsorption/Desorption (T can be +/- for any given half cycle)Sequential Self-Limited Processes (SSP’s) – e.g. ALD and ALE

Rate Limiting Half Cycle must

saturate in some manner

Ranjan AVS 2015

Net Change in thickness for each A+B cycle determines Etching/Deposition

George AVS 2015 Whitney J. Phys. Chem. B 2005, 109, 20522-20528.

Page 13: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 13

Contacts – A universal problem

Page 14: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 14

For 3D Devices Wrap Around Contacts have a clear performance and area advantage over traditional silicide contacts to the top of the fin

Rc = ρc/A

Planar Bulk Thin Body/FinAsilicide > AMIS Asilicide < AMIS

Area Effects – Contacts -Theory

R. Clark et al. MRS-Spring 2017

Traditional diamond shape regrown S/D with large Contact area; (b) Confined S/D epi regrowth with smaller Contact area; and (c) Wrap-Around Contact (WAC) on entire S/D surface with large Contact area. (d) WAC show significantly lower Rextand thus higher Idsat.

S.C. Song et al, VLSI 2015

Page 15: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 15

Maximize Doping– In situ doped Epi + Implant + LSA

Maximize Area WAC– Processes need to be Conformal!– Note Doping is Done Earlier

Clean Interface SSP– Without blowing up the contact CD

Workfunction Metal ALD Ti

Controlled Silicide Formation– Area Detriment– Dopant Segregation– Interface quality

Minimize Liner ALD

Elements of a Low Rs Contact

Adapted from S.A. Chew et. al. IITC May 16, 2017 Hsinchu, Taiwan

Page 16: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 16

Surface Reaction is Diffusion Limited

Evaporation is limited by the amount of material

Near infinite selectivity for SiO2/Si

Si and Ge Oxide can both be cleaned

Plasma free

Dry Can be Clustered with deposition

Chemical Oxide Removal

T of modification layer

SiO2 + 6HF + 2NH3 (NH4)2SiF6 + 2H2O

Surface Reaction/Modification

Evaporation

Tapily ECS Spring 2014

Page 17: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 17

ALD Ti + Longer HF

ALD Ti

ALD Ti for Ultra Low Rs Wrap Around ContactsPVD Ti + longer HF

Adapted from S.A. Chew et. al. IITC May 16, 2017 Hsinchu, Taiwan

Conformal Processes for Contact Cleans and Metals enable Wrap Around Contacts

N-Type ρc on eSi:P (Nd ~9E20/cm3) is 1.4E-9 Ω.cm2

P-Type ρc on SiGe:B (Nd ~4E20/cm3) is 2E-9 Ω.cm2

Both values are close to the lowest reported specific contact resistivity in the literature

0.2 0.4 0.6 0.8 1.00.0

1.0k

2.0k

3.0k

4.0k

Spacing (m)

4Fin

-TLM

resi

stan

ce (

) ALD Ti PVD Ti + extra HF ALD Ti + extra HF

ALD Ti

ALD Ti + Longer HF

Page 18: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 18

More about Patterning Challenges

Page 19: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 19

Patterning challenges to EPESAQP Line cutting with LELELE Final Pattern

• Mandrel, spacer, cuts• Traditional sources of CD variation• Roughness

• Previous Pattern• Cuts to the grid• Cuts to each other

EPE control is critical for further extension

(EPE : Edge Placement Error)

Page 20: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 20

New Colors enable self-aligned integration schemesIntended Design Conventional approach

(using L/S grid)

Etch selectsmandrel

Etch selectsfill material

A = Mandrel B = SpacerC = Fill material

Self-aligned approach(using three grid colors)

CB

AC

BB

AC

BB

AC

B

self-alignment of cut/block is enabled by SAB, using etch selectivity

SAB (Self-aligned Block)

Page 21: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 21

Patterning Challenges and Approaches

TypicalScheme Challenge Potential Approach

Grid formation

SADPSAQP

LER, LWR, local CDU • Etch smoothing (DCS)• Spacer reshape

Spacer leaning • Dep / cure and trimCost • PR mandrel

Cut / Block LEx CD, CDU, CER • Healing, shrinkAlignment with grid (within layer) • SABCost and complexity mitigation • EUV

Via/Contact formation

LEx CD, CDU, CER • Healing, shrinkAlignment with metal lines (inter layers) • FSAV, SAC, SAGCCost and complexity mitigation • EUV

New process development

Atomic level process • ALE / ALDBottom up lithography • Selective deposition

Self-Something

Page 22: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 22

New Solutions from ALE

Page 23: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 23

Isotropic Thermal ALE of Al2O3 at TEL Technology Center, America (TTCA)

• Etch rate ~0.2A/cycle obtained at 100C

~100CTMA

Ar

HF

1 cycle

Ar

See also: George, S. AVS 2015 and ALD 2016

Page 24: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 24

ALE: Temporal Separation of Ions and Radicals

Pre-etch Post-etch

Self-limiting surface modification Self-limiting removal of modified layers

Modified surface

Synergy Etch rate saturationEtch rate ≠ f (τrad) or f (τion)

Etched depth α number of cycles

Do we need to etch one atomic layer per cycle?

Page 25: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 25

Anisotropic atomic layer etching of high K films

BCl3

Ar

Plasma

1 cycle

Min Microelectronic Eng. 110 (2013) 457–460

50

40

30

20

10

00 2 4 6 8

ALE cycle (#)

Am

ount

etc

hed

(Å)

10 12 14

ER=4Å/cycle

Al2O3

Page 26: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 26

Al2O3

HfO2

Area Selective Deposition by Combining ALD and EtchingALD High K Dielectrics followed by Anisotropic Etching enables Ultra-

Thin ALD Sidewall Spacers

Note: Very good selectivity to SiO2

Page 27: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 27

ALE: Temporal Separation of Ions and Radicals

Pre-etch Post-etch

Self-limiting surface modification Self-limiting removal of modified layers

Modified surface

ALE provides

• Aspect Ratio Independent etching

• Self-limiting steps of ALE

• Uniform etching

• Self-limiting steps of ALE

ALE is engineered to provide

• High Selectivity

• Tuned ion energy

• Profile Control

• IAED in desorption step

Radicals Ions

Page 28: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 28

Si ALE: Adsorption

High pressure to achieve halogenation only (no etching)

Surface coverage “nearly” saturates quickly (~10ms -100ms)

MCFPM SimulationExperiments

Page 29: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 29

Atomic Layer Etching: Escape from Trade-offs16eV < Ei < 37.5eV Ei < 70.0eV Ei > 70.0eV

No ARDE-profile-selectivity trade-off

Ion energy decides selectivity and etch precision.

Page 30: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 30

Oxidation Effect: Problem of Si-ALEw/o control of Oxygen from chamber walls

w/ control of Oxygen from chamber walls

Page 31: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 31

Problem of Si-ALE: Surfaces are not PristineModel Real

Models assume ideal surfaces.

Mask open (Si-F and S-C, possible H termination) and presence of native oxide

guarantees anything but pristine surface.

c-Si is rarely encountered in etch applications. p-Si or amorphous Si are widely

used.

Page 32: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 32

Problem of Si-ALE: Ion Angular Distribution ControlIons

2

2/12

22/1

2

sin1cos1

i

r

εi = energy of incident ionsεr = energy of scattered neutralsµ = mion /msubstrate1/2 = /2 -θiθi is the incident angle of ions

=

Helmer and Graves, J. Vac. Sci. Technol. A 16, 3502 1998

High Energy Process(Poor Profile)

Bottom BottomSide Side

Yield

Energy

“Correct” Energy Process(Good Profile)

Page 33: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 33

Problem of Si-ALE: Ion Angular Distribution Control

etching here

energy flux to side-wall must be negligible

=low directed energy

Ions

Angle impacted by Ti, Te

VTeTi

High Energy Process(Poor Profile)

Bottom BottomSide Side

Yield

Energy

“Correct” Energy Process(Good Profile)

Page 34: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 34

Problem of Si-ALE: Ion Angular Distribution Control

Increasing Ion energy

High Energy Process(Poor Profile)

Bottom BottomSide Side

Yield

Energy

“Correct” Energy Process(Good Profile)

Page 35: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 35

Need for ALE of Oxides and Nitrides

Mask

SiN

Oxide

Poly Gate

SAC

• Example of etching oxide selective to nitride

• Unlike silicon we must grapple with material precursor

pairs that are not inherently selective in all aspect

We used Si to illustrate ANISITROPIC ALE

Critical applications also include oxides and nitrides

HARC (in all forms), BEOL/MOL, Patterning

35

Page 36: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 36

Basics of Film Deposition Based ALE

Page 37: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 37

Basics of Film Deposition Based ALE

saturation

Rauf et al., J. Appl. Phys., (2007) Metzler et al., JVST A 32 (2014)

Simulation to Proof of Principle Experiments

Demonstrated for Self-Aligned Contact Etch by

• Honda et al. AVS 2014

• Tsujii et al. AVS 2015

Page 38: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 38

Fluorocarbon Polymers Build up and Clog Delicate Structures

Starting Profile 10 Cycles 30 Cycles 60 Cycles

Simulation: HPEM/MCFPM

Too Much

Too little

Too Little

Page 39: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 39

Process solution: Flash

O2 flash can help avoid clogging.– Not smart: SiN loss– Smart: infinite selectivity on SiO2 over SiN

O2 flash

Page 40: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 40

New ALE Advances: Spatially Selective ALE by Modification Nitride

Modified nitride

Silicon

Oxide

Page 41: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 41

New ALE AdvancesNitride

Modified nitride

Silicon

Oxide

Page 42: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 42

Schematic-Anisotropic SiN QALE

Pre-etch Post-etch

Self-limiting surface modification(Ion-driven)

Self-limiting removal of modified layers(Radical-driven)

Modified surface

H ions F radicals

S.D. Sherpa and A. Ranjan, J. Vac. Sci. Technol. A 35, 01A102 (2017)

Page 43: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 43

Synergy-Anisotropic SiN QALE

S.D. Sherpa and A. Ranjan, J. Vac. Sci. Technol. A 35, 01A102 (2017)

10X increase in etch rate after H2 plasma pre‐treatment

Pre-etch Post-etch

Self-limiting surface modification(Ion-driven)

Self-limiting removal of modified layers(Radical-driven)

Modified surface

H ions F radicals

Page 44: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 44

Synergy-Anisotropic SiN QALE

S.D. Sherpa and A. Ranjan, J. Vac. Sci. Technol. A 35, 01A102 (2017)

Pre-etch Post-etch

Self-limiting surface modification(Ion-driven)

Self-limiting removal of modified layers(Radical-driven)

Modified surface

H ions F radicals

HypothesisAfter insertion of hydrogen,• Si‐N bond length ↑ • Si‐N bond energy ↓• SiN reac vity toward atomic fluorine ↑

Si‐N bond elongation by 0.48 Å

Page 45: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 45

Self-limiting etch-Anisotropic SiN QALEsaturated etched depth ≈ thickness of modified nitride

ion dose

Page 46: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 46

Self-limiting etch-Anisotropic SiN QALE

ION‐IMPLANTATION

exp

maximum concentration at projected range (Rp)

saturated etched depth ≈ thickness of modified nitride

ion dose

Page 47: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 47

Self-limiting etch-Anisotropic SiN QALE

ION‐IMPLANTATION

depth of implantation independent of ion dose

exp

maximum concentration at projected range (Rp)

saturated etched depth ≈ thickness of modified nitride

ion dose

Page 48: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 48

Schematic-Isotropic SiN QALE

Pre-etch Post-etch

Self-limiting surface modification(Radical-driven)

Self-limiting removal of modified layers(Radical-driven)

Modified surface

H radicals F radicals

Page 49: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 49

Self-limiting etch-Isotropic SiN QALE

S.D. Sherpa, P.L.G. Ventzek, and A. Ranjan, J. Vac. Sci. Technol. A 35, 05C310 (2017)

saturated etched depth ≈ thickness of modified nitride

Page 50: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 50

Self-limiting etch-Isotropic SiN QALE

S.D. Sherpa, P.L.G. Ventzek, and A. Ranjan, J. Vac. Sci. Technol. A 35, 05C310 (2017)

DIFFUSION

, er f2

maximum concentration at surface

saturated etched depth ≈ thickness of modified nitride

Page 51: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 51

Self-limiting etch-Isotropic SiN QALE

S.D. Sherpa, P.L.G. Ventzek, and A. Ranjan, J. Vac. Sci. Technol. A 35, 05C310 (2017)

saturated etched depth ≈ thickness of modified nitride

DIFFUSION

, er f2

Page 52: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 52

Self-limiting etch-Isotropic SiN QALE

S.D. Sherpa, P.L.G. Ventzek, and A. Ranjan, J. Vac. Sci. Technol. A 35, 05C310 (2017)

saturated etched depth ≈ thickness of modified nitride

DIFFUSION

, er f2

DEAL‐GROVE model

surface modification of silicon nitride

hydrogen

pristine nitride

reaction at the interfacemodified nitride

Page 53: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 53

Self-limiting etch-Isotropic SiN QALE

S.D. Sherpa, P.L.G. Ventzek, and A. Ranjan, J. Vac. Sci. Technol. A 35, 05C310 (2017)

saturated etched depth ≈ thickness of modified nitride

DIFFUSION

, er f2

DEAL‐GROVE model

Page 54: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 54

SiN QALE-Ultra-high Selectivity to Oxide

Bond dissociation energy (kJ/mol)

Si‐O Si‐F Si‐N

800 575 435

‐1

0

1

2

3

4

5

6

7

H2 plasma (Step 1) F plasma (Step 2) Step 1 + Step 2

Etched

Dep

th [n

m]

Nitride Oxide

Atomic fluorine the dominant etchant during the exposure to F plasma

Page 55: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 55

Quasi-ALE of Organic Materials

Pre-etch Post-etch

Self-limiting surface modification(Radical-driven)

Self-limiting removal of modified layers(Ion-driven)

Modified surface

O radicals Ar ions

Self-limiting steps for organic materials possible?

Page 56: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 56

Internal Use Only

Internal Use Only

O Atoms on a Carbon Strand

First throw Second throw Third throw

Argon ion bombardment denudes the carbon of its hydrogen

Repeated oxygen radical exposure to the H denuded

surface results in bond breaking.

Page 57: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 57

Large oxygen dose results in energy release and no self-limitation

Small doses gently treat H-free layers.

A viable self-limiting mechanism

- -

c

Ion BombardmentH

-C-H -C-C

“Graphitized Layer”-

C-C-C-O-C

Small O FLux

- -

c

Ion BombardmentCO2

Threshold-less removal

Graphitized Layer

-

Large O Flux

-

CO2Ion Bombardment

Ar Plasma

Ar/O2 Plasma

Page 58: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 58

Organic ALE Through Ion Surface ModificationSpecial Case: Non-Uniform Plasma

∆ Depth ~ 20%

ARDE 15%

Wafer location A Wafer location B

RIE Mode

Wafer location A Wafer location B

Cyclic Mode (Quasi-ALE)

Quasi-ALE ” virtually eliminates NU and ARDE

Page 59: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 59

ALE: Where are we and what lies ahead?ALE pu

blications (JVST)

1990s

Beginning of ALE research

2013

ALE activity intensifies due to new challenges posed by finFET,

SAC, HARC

2018 New Frontiers

CURIOSITY ATTENTION

Area‐selective ALEALE of “hard to etch” materialsNew Precursors/New Techniques

NECESSITY

ALEtch requires significant ALEngineering to make it practical.

Page 60: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 60

3D Architectures and Scaling are Required for 10nm and beyond Scaling

Meeting the challenge of 3D, EPE, New Devices and Contacts Requires New Process Technology and Patterning Paradigms

TEL is working continuously to develop and improve the New Processes needed to continue scaling beyond the 10nm node and through the next decade.

Summary

NS300Z

TELINDY PLUSTM TactrasTM

VigusTM

Certas LEAGATMNT333TM CLEAN TRACKTM

LITHIUS ProTM Z

CELLESTATM-iTriase+ TM

Page 61: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the

Robert D. Clark/ TTCA-TFPT / 05122017.01 61

TTCA R&D, Operations and Equipment Support Teams: Alok Ranjan, Kanda Tapily, Steve Consiglio, Kyle Yu, Danny Newman, Dave O’Meara, Jeff Smith, Cory Wajda, Peter Ventzek, Gert Leusink

IMEC: MOL Contacts Team, especially S.A. Chew H. Yu and M. Schaekers –Wrap Around Contacts

TEL Japan staff including H. Yaegashi, M. Honda and T. Tsunomura for support and assistance on patterning and selective deposition materials

Acknowledgement

Page 62: Atomic Layer Processes to Enable the Atomic Scale Era€¦ · Robert D. Clark/ TTCA-TFPT / 05122017.01 5 The way we have been thinking, and… Process Implications of Scaling As the