49
Atom Probe Tomography of Electronic Materials Thomas F. Kelly, 1 David J. Larson, 1 Keith Thompson, 1 Roger L. Alvis, 1 Joseph H. Bunton, 1 Jesse D. Olson, 1 and Brian P. Gorman 2 1 Imago Scientific Instruments Corporation, Madison, Wisconsin 53711; email: [email protected] 2 Department of Materials Science and Engineering, University of North Texas, Denton, Texas 76203 Annu. Rev. Mater. Res. 2007. 37:681–727 First published online as a Review in Advance on April 13, 2007 The Annual Review of Materials Research is online at http://matsci.annualreviews.org This article’s doi: 10.1146/annurev.matsci.37.052506.084239 Copyright c 2007 by Annual Reviews. All rights reserved 1531-7331/07/0804-0681$20.00 Key Words microanalysis, atom mapping, dopant profiling, silicon, compound semiconductors Abstract The state of application of atom probe tomography to electronic materials is assessed. The benefits and challenges of the technique are discussed with regard to its impact on this field of materi- als science. Specimen preparation in particular is emphasized as the key to success with modern atom probes. Electronic materi- als referenced in this paper include components of complementary metal/oxide/semiconductor (CMOS) structures, compound semi- conductors, and thin films for data storage and general applications. Many examples from recent work are provided as illustrations of the types of information that can be derived and the impact this informa- tion can have on the research, development, processing, and failure analysis of electronic materials. 681 Annu. Rev. Mater. Res. 2007.37:681-727. Downloaded from www.annualreviews.org by Pohang University of Science and Technology (POSTECH) on 05/20/14. For personal use only.

Apt thomas kelly

  • Upload
    -

  • View
    52

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

Atom Probe Tomographyof Electronic MaterialsThomas F. Kelly,1 David J. Larson,1

Keith Thompson,1 Roger L. Alvis,1

Joseph H. Bunton,1 Jesse D. Olson,1

and Brian P. Gorman2

1Imago Scientific Instruments Corporation, Madison, Wisconsin 53711;email: [email protected] of Materials Science and Engineering, University of North Texas,Denton, Texas 76203

Annu. Rev. Mater. Res. 2007. 37:681–727

First published online as a Review in Advance onApril 13, 2007

The Annual Review of Materials Research is online athttp://matsci.annualreviews.org

This article’s doi:10.1146/annurev.matsci.37.052506.084239

Copyright c© 2007 by Annual Reviews.All rights reserved

1531-7331/07/0804-0681$20.00

Key Words

microanalysis, atom mapping, dopant profiling, silicon, compoundsemiconductors

AbstractThe state of application of atom probe tomography to electronicmaterials is assessed. The benefits and challenges of the techniqueare discussed with regard to its impact on this field of materi-als science. Specimen preparation in particular is emphasized asthe key to success with modern atom probes. Electronic materi-als referenced in this paper include components of complementarymetal/oxide/semiconductor (CMOS) structures, compound semi-conductors, and thin films for data storage and general applications.Many examples from recent work are provided as illustrations of thetypes of information that can be derived and the impact this informa-tion can have on the research, development, processing, and failureanalysis of electronic materials.

681

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 2: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

TEM: transmissionelectron microscopy

Secondary ion massspectrometry (SIMS):analytical tool in which abeam of primary ionsimpinging on a specimensputters secondary ionsfrom the specimen into amass spectrometer

Atom probe tomography(APT): a technique forthree-dimensionalcompositional imaging andanalysis of materials at theatomic scale with highsensitivity

Local electrode atomprobe (LEAP): a type ofinstrument that performsAPT with a large field ofview and high datacollection rates

Three-dimensional atomprobe (3DAP): a genericname for an instrument thatperforms APT; also thename for an instrumentmade by OxfordnanoScience, Ltd.

INTRODUCTION

The solid-state microelectronics industries are now more than 50 years old. For mostof that time, there has been a need to understand the fine-scale makeup of theirdevices both structurally and compositionally. Given the well-known trends towardsmaller-scale devices (1), the techniques that have been used to provide this infor-mation have had to improve their capabilities until eventually other techniques weredeveloped or adapted to the need. The older techniques typically do not disappear;rather, the newer techniques gain acceptance and take their place alongside the es-tablished ones. And so it is that we find ourselves at one of these junctures. Withcharacteristic length scales in logic devices, memory devices, and data storage sen-sors below 100 nm, there is a need for structural and compositional characterizationat the subnanometer scale. The two standard bearers of such characterization to-day, transmission electron microscopy (TEM) (used for structural and compositionalcharacterization) and secondary ion mass spectrometry (SIMS) (applied primarily incompositional characterization), can be pushed to this length scale, but true 3-D char-acterization at the subnanometer scale is not within the grasp of either technique.There are no widely adopted techniques capable of answering key characterizationquestions about nanoscale structures such as a buried interface with 1-nm roughnessin a multilayer structure or dopant diffusion profiles around a source/drain contact.This unmet need for microscopy capabilities has created an opportunity for anothertechnique, atom probe tomography (APT), to fill the gap. Note that APT is the nameof the technique and there are different instruments, such as the local electrode atomprobe (LEAP®) and the three-dimensional atom probe (3DAPtm) (see below), thatperform APT.

APT produces 3-D compositional images at the atomic scale with very high [10atomic parts per million (appm)] analytical sensitivity (2, 3). It does so by control-lably extracting atoms (ions) from a specimen with a very high electric field appliedto the surface. The extracted ions are projected onto a position-sensitive detectorfor recording their location. Time-of-flight measurements on the ions provide theirisotopic identity as a mass-to-charge ratio of the ion. Because the fundamental dataformat is the 3-D position and identity of atoms (isotopes, actually) in a volume con-taining potentially hundreds of millions of atoms, many types of information maybe gleaned. Elemental concentration may be determined in any subvolume size orshape simply by the counting of atoms. Concentration profiles may be obtained inany direction, even radially through a spherical feature. Isoconcentration surfacescan be set to delineate and measure interfaces. Interatomic distribution functionscan be determined for studying ordering, dopant interactions, cluster formation, andearly stages of precipitation. Once the dataset is obtained, the quality and quantityof results are limited principally by the microscopist’s ability to direct a computer toextract the information. APT is unique in the world of analytical instruments for its(a) spatial resolution in three dimensions (0.2 nm achievable), (b) analytical sensitivity(10 appm), and (c) high detection efficiency (>50%), combined with its abilities todetect all elements (d ) with equal efficiency and (e) without need for a priori knowl-edge of the composition. All these qualities make APT a natural tool also for themicroelectronic industries.

682 Kelly et al.

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 3: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

Field ion microscope(FIM): a microscope inwhich the surface atoms ona sharp specimen areimaged by field ionized gasatoms projected onto animaging screen

Focused ion beam (FIB):a scanning ion beaminstrument that uses the ionbeam to remove material ona 10-nm scale by sputteringof the specimen

APT has its roots in field electron emission science and field ion microscopy, de-veloped principally by Muller (6) from as early as 1935. The atom probe (4) was amajor adaptation of the field ion microscope (FIM) (5), which was itself an adap-tation of the field electron emission microscope (6). The instrumentation has beenundergoing radical changes throughout its history such that today’s atom probes aremillions of times more responsive to experimental characterization needs than aretheir earliest predecessors. A brief review of these historical developments can befound in Muller & Tsong (7) and Muller et al. (2); Kelly & Miller (3) also review theevolution of the instrumentation. Most notable among these developments for mi-croelectronic applications is the advent of commercially available laser-pulsed atomprobes (Imago Scientific Instruments Corporation, Madison, WI; Cameca SA, Paris;Oxford nanoScience, Ltd., Milton Keynes, UK) that, following the pioneering workof Kellogg & Tsong (8), make it possible to analyze semiconductor and electricallyinsulating materials.

Because the hardware to study real-world semiconducting materials has been avail-able only in the past two years or so, the applications contained in this paper comefrom a limited number of groups. Also, some of the best work either is not yet pub-lished or cannot be divulged publicly. This paper is therefore not so much a reviewof the literature as a review of the best publishable works in the field at the time ofits writing. The applications of APT to electronic materials are expanding rapidly,and one should expect that many more advances will be made by the time this paperis published. Another paper in this volume by Seidman (9) highlights work in metalsresearch and includes some electronic materials applications as well.

SPECIMEN PREPARATION

Like most analytical techniques, specimen preparation is the gatekeeper for APT. Ifyou can’t make a specimen, you can’t get in. In the past, when specimens were primarilymetal needles (see Reference 2 or 3), this step kept many worthy characterizationchallenges out of the field. Most microelectronics applications are included in thiscategory, and as a result there has been very little work in this area until recently.The use of focused ion beam (FIB) instruments to fabricate specimens for APT haschanged all this dramatically over the past decade. Waugh et al. (10) reported thefirst efforts using a FIB, but it was not until Larson et al. (11–15), Schleiwies (16),and Thompson et al. (17) made specimens from multilayer films that the techniquebecame widely adopted. The use of coupon extraction from bulk or wafer materialswas pioneered by Kelly et al. (18) and Thompson et al. (19) to make semiconductorspecimens and by Miller et al. (20) for metallic specimens.

FIB-based specimen preparation methods have made it possible to fabricate atomprobe specimens from a significantly wider range of materials and alternative spec-imen geometries than traditional electropolishing methods. Such materials includemultilayer and surface films (17, 21), semiconductor devices (19), carbon filaments andwhiskers, ceramics, and oxides including magnetite (22, 23). FIB-based methods havebeen developed to fabricate specimens from powders, ribbons, and thin sheets (20,24, 25). Lift-out or coupon extraction methods (20) have been used for site-specific

www.annualreviews.org • Atom Probe Tomography of Electronic Materials 683

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 4: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

specimen preparation of features such as grain boundaries (24), interphase interfaces,low-volume-fraction or inhomogeneously distributed phases, coarse precipitates (26),and ion-implanted and subsurface regions (27). In addition, the FIB may be used asa final step for electropolished specimens to correct electropolishing artifacts andensure a circular cross section with a smooth taper angle (28).

Figure 1 shows the essential steps in preparing a specimen from a wafer. Theprocess is detailed in Thompson et al. (19) and is typically referred to as lift-out.In Figure 1a, a sample wedge is shown being lifted out of a wafer surface after theFIB has been used to cut two trenches on either side of the coupon. The trenchesare actually cut with the ion beam impinging on either side of the area of interest atapproximately 30◦ to normal so that a coupon with a wedge-shaped cross section ismade. The coupon is positioned on a needle or Si post (Figure 1b) inside a microtip

Pt/C cap

25 μm

a b

c

Region ofinterest

200 nm

d

500 nme

Pt/Cbond

Pt/Cbond

5 μm

Pt/C cap

Figure 1Lift-out process showingthe four principal steps inmaking a lift-out specimenfrom a wafer. The four stepsare extracting the coupon(see a), attaching thecoupon onto a microtip,severing the coupon fromthe attached segment of thecoupon (see b), andsharpening the segmentatop the microtip (see c andd ). e shows a perspectiveview of a microtip arraycoupon.

684 Kelly et al.

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 5: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

Standard ReferenceMaterial (SRM): materialprepared and documentedby the National Institute forStandards and Technologyfor standards in metrologyapplications

array (Figure 1e) and bonded with a deposit of Pt/C. It is then sliced off with the ionbeam to leave a specimen preform. A microtip array is an ordered collection of tall(∼100 micron) Si posts formed on a Si coupon with standard microelectromechanicalsystems (MEMS) techniques. The Local Electrodetm enables the atom probe to fieldevaporate atoms exclusively from a single needle-shaped specimen located amonga field of similar needle-shaped specimens. These microtip arrays enable the rapidpreparation of a large number of nearly identical atom probe samples by providinga consistent platform for mounting the sample section once it is extracted from thematerial of interest. The ion beam is then used to sharpen the preform with a seriesof annular mill patterns (Figure 1c). The apex of the specimen contains the featureof interest (Figure 1d ).

A wide array of specimens, including most of the specimens described in this paper,has been prepared with this approach. It is now routine to prepare 2 specimen tips inapproximately 1 h, which includes the extraction process, or 20 tips in approximately4 h. The region of interest (ROI) can be located laterally to within ∼5 nm with thismethod if it can be discerned in the FIB. The top surface of the specimen is usuallyprotected from the Ga beam of the FIB with a cap layer that may be Pt/C depositedwith the electron beam in the FIB. This cap layer makes it possible to see the locationof the top surface during preparation, and it absorbs the radiation damage from the30-keV Ga ions used for milling (13, 29). The final step of preparation is usually acleanup of the damaged surface with low-energy (<10-keV) Ga ions to remove theGa-implanted and damaged material. It is possible to locate the specimen surface to∼3 nm in depth with this approach.

CORRELATIVE MICROSCOPY FOR CALIBRATIONAND VERIFICATION

Secondary Ion Mass Spectroscopy

Secondary ion mass spectroscopy (SIMS) is a widely used and studied techniquefor 1-D compositional profiling of semiconductor structures. It is especially good atprofiling in depth low concentrations of an element such as dopant atoms in Si. Toassess the accuracy and precision of the atom probe composition determination, acalibrated dopant sample, Standard Reference Material (SRM)-2137, from the U.S.National Institute of Standards and Technology (NIST) (30) was analyzed. Specimensfrom the SRM-2137 10B implant standard were prepared with the lift-out method andanalyzed in a Local Electrode Atom Probe® (LEAP®) 3000X Sitm. Figure 2a showsthe resultant atom probe analysis. A 1-D dopant profile was obtained from the atomprobe data in the analysis direction. The dopant profile obtained via APT is overlaidwith the NIST SIMS analysis (Figure 2b) to compare the resolution capabilities ofthe two techniques.

In an analogous study, Imago Scientific Instruments Corporation has developedits own implant standard for 75As. The 75As was implanted at 50 keV to a dose of 2 ×1021 m−2 (2 × 1015 cm−2). A 2-nm-thick SiO2 film was grown via thermal oxidationon the surface of the Si, followed by the deposition of 50 nm of poly-Si at 600◦C.

www.annualreviews.org • Atom Probe Tomography of Electronic Materials 685

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 6: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

Analysis direction

80

60

40

20

0

–20

–40

–60

–80

50 100 150

z (nm)

x (n

m)

200 250 300

a

Figure 2Compositional correlation of APT data with secondary ion mass spectroscopy (SIMS). (a) 3-Datom map, shown in 2-D projection, for the U.S. National Institute of Standards andTechnology (NIST) dopant Standard Reference Manual (SRM)-2137 as obtained in the LEAP3000X Si system. The field of view at the base of this analysis is ∼170 nm in diameter. Thislarge field of view is made possible by an 80-mm-diameter detector and the relatively shortflight path enabled by the Local Electrode. 10B is shown as blue dots, and Si is shown as graydots. (b) 1-D compositional analysis in the depth direction for the NIST standard SRM-2137atom map shown in a. Error bars are shown at each datum. If they are not visible, it is becausethe error bar is smaller than the datum marker. These errors are dominated by Poissonstatistical fluctuations for small counts.

Spatial Distribution Map(SDM): a near-neighboranalysis of the localenvironment of atoms in anatom probe dataset

This specimen was used to qualify the atom probe for analysis of both a thin dielectriclayer and a dopant implant. The specimen was analyzed with SIMS and with APT inthe LEAP 3000X Si system. The results are shown in Figures 3a and b. The SIMSand APT 1-D dopant profiles overlap well. The LEAP provides superior sensitivityto the As buildup in the oxide layer and does not suffer from the sputter-rate andionization-rate fluctuations that plague SIMS.

The results in both cases were very similar: SIMS and APT gave the same answerto within their respective experimental certainties. It is also essential that the accuracyof the depth in a concentration profile can be verified. Geiser et al. (31; B.P. Geiser,T.F. Kelly, D.J. Larson, J. Schneir, & J.P. Roberts, unpublished data) developed a newtool called Spatial Distribution Mapstm (SDMtm) for use as an internal standard tocalibrate length scales in APT data. By recording relative positions of near-neighboratoms for all atoms in a dataset, one creates a map of the average local environment.These SDMs reveal the crystal structure and can be used to find the spacing of knowncrystallographic planes. Figure 3c is a section of an SDM in which the {200} of Siare clearly visible. Once they are found, the scaling of the reconstruction is adjustedto make these planes have the correct spacing. The depth in the concentration profile(Figure 3b) is then known to be correct.

686 Kelly et al.

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 7: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

1017

1018

1019

1020

Depth (nm)

Co

nce

ntr

atio

n (

# cm

–3)

NIST:BLEAP® 3000X:B

0 50 100 150 200 250 300

Analysis directionb

Figure 2(Continued )

SEM: scanning electronmicroscopy

Transmission Electron Microscopy/Scanning Electron Microscopy

The role of SIMS in corroborating results from APT is straightforward. Electronmicroscopy can be used for a similar purpose, and both TEM and scanning electronmicroscopy (SEM) can play a role. There are three main ways in which electronmicroscopy enhances APT: (a) imaging (the verification of the internal and externalshape, size, and morphology of the specimen), (b) diffraction (for TEM) (the verifi-cation of the atomic structure, crystalline or amorphous, of each component of thespecimen), and (c) analysis (verification of the general composition of phases). It isalways better to have some knowledge of the specimen makeup prior to running anexperiment in an atom probe. For example, this information allows the user to makedecisions about the base temperature of the specimen to be used in the experiment orto adjust the experimental parameters to account for expected changes in material thatgreatly increase or decrease the evaporation field needed. It is always possible to runan atom probe specimen without this knowledge, but the experiment will run moresmoothly, and the best results will be obtained, with less iteration of the experimental

www.annualreviews.org • Atom Probe Tomography of Electronic Materials 687

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 8: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

conditions if such information is available. Furthermore, TEM in particular providesa wealth of information complementary to APT. Strain fields and point, line, andplane defects are readily observed in TEM but cannot be observed, except indirectly,in APT. If an APT image shows segregation to a planar feature, it is highly desirablethat a correlated TEM image be available to show the presence of a grain boundaryat that location.

There are many examples of TEM images of atom probe specimens in the liter-ature. Some of these are images of specimens that subsequently have been analyzedwith APT. All these are needle-shaped metal specimens. Increasingly, hardware is be-ing adapted to facilitate this process for microtip-arrayed specimens. Gorman et al.(32) have developed methods for TEM/STEM (scanning transmission electron mi-croscopy) analysis of microtip arrays, as illustrated in Figure 4. A side-entry specimen

y (nm)z

(nm

)

As

Poly-Si cap

a

20

40

60

80

100

120

140

160

180

– 40 –20 0 20 40

Si

Native oxide

Figure 3Spatial and compositional correlation of APT data with SIMS. The field of view of thisanalysis is 100 nm in diameter. (a) APT image and (b) associated composition profile along thelong axis of the dataset. The APT data obtained on a LEAP 3000X Si compare favorably withthe SIMS data. (c) x-z section of a spatial distribution map that shows the average repeatstructure of a Si specimen. The {200} planes of Si are clearly resolved and are used to calibratethe depth scale in b. Error bars are shown at each datum. If they are not visible, it is becausethe error bar is smaller than the datum marker. These errors are dominated by Poissonstatistical fluctuations for small counts.

688 Kelly et al.

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 9: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

Depth (nm)

As

con

cen

trat

ion

(#

cm–3

)

SIMS

LEAP® 3000X SiTM

b

50 60 70 80 90 100 110 120 130 140 150 1601019

1020

1021

2.0

1.5

1.0

0.5

0

–0.5

–1.0

–1.5

–2.0–2.0 –1.5 –1.0 –0.5 1.00.50 1.5 2.0

40,000

35,000

30,000

25,000

20,000

15,000

10,000

5000

∆z

(nm

)

Sig

nal (co

un

ts/voxel)

∆x (nm)

c

Figure 3(Continued )

www.annualreviews.org • Atom Probe Tomography of Electronic Materials 689

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 10: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

a

c d

b Specimencarrier

SpecimencarrierAPT

specimen

Removablespecimen

mount

Side-entry TEMspecimen holder

WSiGe

e

W

SiGe

Figure 4Instrumentation for FIB, TEM, and LEAP. (a) Single-tilt side-entry TEM specimen holderthat has a removable specimen mount. (b) Mechanical drawing of the specimen mount with amodified TEM specimen carrier (often referred to as a specimen grid). (c) SEM image of aTEM specimen carrier that has an APT specimen mounted on it. (d ) A high-angleannular-dark-field STEM image of a finished APT specimen of SiGe structure. (e) A LEAPimage of the specimen in d. W (blue dots) and Si ( gray dots) are shown. Ge is not displayed. Thiswork is from Gorman et al. (32).

STEM: scanningtransmission electronmicroscopy

holder for a TEM (Figure 4a) has been designed to accept a specimen mount(Figure 4b) that can also be mounted in a LEAP. The specimen mount accommo-dates TEM-compatible specimen carriers (Figure 4c) that can accept a linear array ofmicrotips mounted on the carrier. The images in Figures 4d and e are the first frommicrotips for which the same specimen area has been imaged in both TEM and APT.The hardware developed by Gorman et al. (32) also allows for STEM and TEM to-mography, which will enable three-dimensional structural and chemical verificationof the atom probe reconstruction.

SEM images have been used extensively for observation of the specimen primarilyas a way to determine the geometric suitability (sharpness) for APT analysis. However,it is also possible to use secondary electron contrast in images to aid in positioningthe ROI at the apex of the sharpened specimen during FIB preparation of specimens.Figure 5 illustrates this process as a site-specific specimen is prepared for APT analy-sis. The information in the SEM image is used at each stage of preparation to chooseand locate, both laterally and in depth, the final ROI at the apex of the specimen.The quality of the SEM images is also sufficient to use it as an alternative to TEM

690 Kelly et al.

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 11: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

ba

dc

1 μm 300 nm

300 nm300 nm

Figure 5Site-specific specimenpreparation aided by SEMimaging. (a) Plan view of aFinFET (fin-shaped fieldeffect transistor) transistorstructure. (b) SEM image ofmultiple fins in a LEAPspecimen. (c) A single finisolated in the nearlyfinished specimen. (d ) Thefinished specimen with asingle-fin region of interestat the apex. These imageswere obtained in adual-beam focused ionbeam (FIB) during thepreparation process.

for verification of the shape, size, and morphology of the specimen as in Figures 5c

and d.

APPLICATIONS OF ATOM PROBE TOMOGRAPHYTO ELECTRONIC MATERIALS

There are many material components in actual electronic devices. The structureand composition of these components and the interfaces between these componentsdetermine the performance of the devices and are themselves dictated by the process-ing used. It is often desirable or necessary to understand the individual componentsfirst, as part of the development regimen. For example, high-k dielectrics for logic-transistor-gate insulators are a component concept that must be developed in its ownright prior to utilization in an actual device. Furthermore, because APT of electronicmaterials is relatively new, it is prudent to begin by taking a simple first step, theanalysis of individual components, before proceeding to the analysis of entire de-vices. Process regimens and component quality can be checked with this approach.Eventually, entire devices must be built, and the analysis of actual devices is of greatinterest as well. Indeed, our experience has shown that APT analysis of entire devicesis possible (see below), but it requires greater finesse experimentally. It is our opinionthat both component-level and device-level analyses offer unique information andwill each play a role in the electronic materials industries.

Not much work has been performed historically with APT on electronic materials.Because of the technological importance of APT, several efforts have been made toanalyze Si in an atom probe. Kellogg & Tsong (8) reported the first time-of-flight data

www.annualreviews.org • Atom Probe Tomography of Electronic Materials 691

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 12: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

PLAP: pulsed laser atomprobe

CMOS: complementarymetal/oxide/semiconductor

from a pulsed laser atom probe (PLAP). They showed that pure Si can be pulse evapo-rated in the PLAP. Cerezo and colleagues (33–36) were the first to analyze compoundsemiconductors such as InP and GaAs. Melmed et al. (37) were able to analyze high-resistivity Si in a voltage-pulsed atom probe by using long-duration (>10-ns) voltagepulses. Early work on laser pulsing showed that pulsed evaporation in high-resistivitymaterials such as alumina (38), Si (39), and SiC (40) was possible. With the recentresurgence of PLAP, more high-resistivity materials have been examined (27, 41).

In the next two subsections, a selection of analyses of electronic materials compo-nents is reviewed. Some device-level analysis is then presented. In each example, theunique value of the analysis type is emphasized.

Components of CMOS Devices

Complementary metal/oxide/semiconductor (CMOS) devices [CMOS is a pairing ofNMOS (n-type MOS) and PMOS (p-type MOS) devices] have been the basis of themajority of active electronic components in logic and memory integrated circuits overthe past three decades. The essential components, metals for electrical conductors,oxides for dielectrics, and silicon for the semiconductor, were historically monolithicand often monatomic materials. This is no longer the case. Metal silicides are beingutilized for the electrical conductors, multilayer oxides and nitrides of different metalsare utilized for the dielectrics, and alloys of Si and Ge are being utilized for the semi-conductors. As illustrated below, as the complexity of these components increases, sodo the materials processing challenges and the need for structural and compositionalcharacterization at the atomic scale. The examples below illustrate how atom probetomography has addressed this need.

Dopant-implant mapping. For many years the atom probe community has showngreat interest in the observation of dopants in Si-based CMOS devices. The first3-D image of B in Si came from work on a voltage-pulsed, energy-compensated3-D atom probe (3DAPtm). The specimen was made by FIB sharpening of heavilydoped Si posts, as in the work on multilayer structures discussed below (see, forexample, Reference 42). This work on Si was published in a conference proceeding(43). Dopant mapping has come a long way since that effort. Pulsed-laser APT hasbeen used to map 1-D, 2-D, and 3-D distributions of B, As, and P with high spatialand compositional resolution. Some examples include the observation of As implantprofiles in Si (27), the segregation of B to grain boundaries in poly-Si (27, 44), andthe lateral diffusion profile of B in a transistor from a source/drain into the gateregion ( J.S. Moore, K.S. Jones, K. Thompson, R.M. Ulfig, D.J. Larson, H. Kennel,S. Corcoran, unpublished data).

Deep implants. The first ion implant analyses obtained with APT were from deepimplants. Typically, these are fabricated with higher-energy ions (>20 keV) that areintended to be implanted to depths of several tens of nanometers. Such implantsare used, for example, at source and drain extensions in field effect transistors. Athermal treatment (>800◦C) after implantation is often used to anneal the structure

692 Kelly et al.

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 13: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

Electron energy lossspectroscopy (EELS): inthis context, it is usuallyapplied in a TEM toelectrons that have passedthrough the specimen toreveal characteristicinformation about thespecimen

and diffuse the implanted species deeper into the structure. As discussed above, inFigures 2 and 3, the deep implants were analyzed primarily to demonstrate the abilityof APT to analyze dopant atoms in Si.

Shallow implants. Shallow implants of dopants are used in the active regions of tran-sistors at the source and drain. Although these implants are high in concentration(∼1–2%), they pose a particularly difficult characterization challenge for analyticalinstrumentation. The device regions of interest for dopant inspection are those areasin and around the channel where even a low concentration (<1 atomic part per thou-sand) of dopants over a very small (<10-nm) spatial extent causes major changes inthe electrical performance of the device. Furthermore, the dopant atoms are partiallyconsumed by an obligatory silicide contact process and bounded by SiO2 and ni-tride layers. The detection of dopants in these regions with techniques such as SIMS,electron energy loss spectroscopy (EELS), or energy-dispersive X-ray spectroscopy(EDXS) is all but impossible. Holographic imaging with TEM of these structures can,in principle, provide a map of the dopant distribution in a shallow implant throughthe thickness of a 2-D thin specimen (45, 46). Holographic TEM analysis is limitedto approximately 5-nm lateral resolution, however, and is limited by its sensitivityto heavily doped regions. APT can provide 3-D concentration-variation informationon these structures with high sensitivity (<1 × 1018 cm−3), particularly with respectto dopant distributions within the channel regions. Figure 6 shows a concentrationprofile obtained via APT for a shallow As implant in Si. This fine level of detail onthe distribution of the implant is essential to understanding correctly the electricalperformance of active devices fabricated with such ultrashallow implants.

Silicon-germanium alloys. Alloys of Ge in Si (SiGe) are of great interest in thesemiconductor industry. Doped SiGe is a key component layer in SiGe heterojunctionbipolar transistors. In CMOS technology, SiGe can be used to induce strain in the Silattice. This strain, when appropriately applied, increases the mobility of valence holesby up to an order of magnitude. This offsets limitations in PMOS drive current ascompared with NMOS devices. An accurate accounting of the Ge concentrations in 3-D space is important in developing and maintaining a consistent production process.In addition, the local distribution of dopant atoms, particularly B, in and around theSiGe regions definitively impacts the electrical performance of the device. Thesedopant distributions must be monitored in 3-D space down to parts-per-thousandconcentrations.

Silicon-germanium transition layer. When Si or SiGe films are grown on Si, tran-sients in the growth occur when the film type changes. Figure 7 shows a multilayerstructure with this effect in SiGe. At the transition from Si growth to SiGe growth atInterface 1 in Figure 7a, an elevated Ge concentration has been observed with SIMS,but this measurement is a difficult challenge for SIMS. In this work, profiles fromspecimens taken from the same wafer were obtained by both APT and SIMS. TheAPT data show a much higher (14% versus 8.5%) Ge concentration at the interface.This difference is greater than the experimental error in the work. The transition

www.annualreviews.org • Atom Probe Tomography of Electronic Materials 693

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 14: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

1018

1019

1020

1021

Depth (nm)

As

co

nc

en

tra

tio

n (

# c

m–

3)

50 10 15 20 25 30 35

Figure 6Ultrashallow implant of As in Si as determined by APT with a LEAP 3000X Si. The As wasimplanted at normal incidence at 5 keV with a dose of 5 × 1015 cm−2. The 1-D compositionalanalysis shows a hump in the As concentration in the top 5 nm. The concentration peaks at1.5 nm. After the hump, the concentration profile slowly decreases until approximately 22 nmdeep. From this point onward, the concentration falls off at approximately 4 nm decade−1.

width measured as the position at which the concentration is halfway between thepeak-to-valley difference on each side is also narrower for APT (2.2 nm) than forSIMS (4.0 nm). Both of these effects are consistent with the fact that APT has higherspatial resolution at abrupt interfaces than does SIMS. Similar transition widths werealso measured at Interface 2.

Implanted silicon-germanium. Figure 8a shows an atom map of a Si/SiGe/Si:Bsample that was analyzed in the LEAP with a large-field-of-view detector. A 1-Dcompositional analysis in the depth direction was performed on the atom probe anal-ysis in Figure 8a, with the resulting profile shown in Figure 8b. This image illustratesone of the key advantages of APT for the characterization of buried interfaces, theability to characterize chemically abrupt transitions with subnanometer spatial reso-lution (<1 nm per decade of concentration). The high concentrations of Ge in thiscase mean that there are enough counts in the spectrum to make this determinationwith good statistics.

This example demonstrates the fact that atom probe concentration analysis is in-dependent of dopant concentration. The B concentration ranges over several decadesof concentration without affecting the analysis of the Ge concentration and vice versa.

694 Kelly et al.

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 15: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

Analysis direction

30 nm

Si Ge

SiGe Si SiGe

InterfaceInterface 1 InterfaceInterface 2Interface 1 Interface 2

a Figure 7APT analysis of a SiGe-Simultilayer stack. (a) Sideview of an APT image ofCVD Si/SiGe multilayerfilms (courtesy of SeanCorcoran, IntelCorporation).(b) Concentration profile atInterface 1, obtained byAPT and SIMS. The APTconcentration profile istaken from the 10 nm oneither side of Interface 1.

0

2

4

6

8

10

12

14

16

Depth (nm)

Co

nce

ntr

atio

n (

ato

mic

%)

LEAP

SIMS

Si.94Ge.06 Si

4.0 nm

2.2 nm

b

0 2 4 6 8 10 12 14 16 18 20

With other techniques like SIMS and ellipsometry, the measured parameter (concen-tration, optical properties, etc.) depends on the concentration of other elements inthe volume.

This example also illustrates the high sensitivity of APT. When very low con-centrations of an element such as dopants are present, counting statistics become a

www.annualreviews.org • Atom Probe Tomography of Electronic Materials 695

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 16: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

50 nm

a

b

0 20 40 60 800

2

4

6

8

10

12

14

Depth (nm)

B c

on

cen

trat

ion

(#

cm–3

)G

e ( atom

ic %)

1021

1020

1019

1018

Figure 8APT analysis of a SiGe/Si:B multilayer structure. (a) 3-D atom map of the multilayerstructure. Visualization of the B (left; blue dots) and Ge atoms (right; red dots) is shown inseparate maps for clarity. Si atoms are shown as gray dots. The maximum field of view in theimage is 170 nm in diameter. (b) 1-D concentration profile in depth normal to the layers wherethe B profile (blue) and Ge profile (red ) are shown. The SiGe:Si and Si:SiGe transitions aresharp, with a slope of <1 nm decade−1. The B concentration is resolved over three orders ofmagnitude in concentration. The noise floor of this experiment is approximately 2 ×1024 m−3 (2 × 1018 cm−3) of B. Error bars are shown at each datum. If they are not visible, itis because the error bar is smaller than the datum marker. These errors are dominated byPoisson statistical fluctuations for small counts.

factor in quantification. A large field of view then becomes indispensible. The largefield of view employed, in this case ∼140 nm diameter, makes it possible to quantifythe composition of the B dopant to approximately 40 atomic parts per million (2 ×1018 cm−3) in 0.5-nm-thick increments in the concentration profile. This limit is dic-tated by the finite number of atoms (approximately 7 detected) in such a thin slice of

696 Kelly et al.

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 17: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

ITRS: InternationalTechnology Roadmap forSemiconductors

FWHM: full width halfmaximum

limited lateral extent. Ten atomic parts per million can be detected, but it would takea 2-nm-thick slice at this field of view or a two-times-larger-diameter field of view.

High-k dielectrics. The gate dielectric is a critical component of any MOS de-vice. The 45- and 32-nm nodes of the ITRS (International Technology Roadmapfor Semiconductors) (47) project effective oxide thicknesses that are less than 1 nmthick. This can be accomplished only with a dielectric material whose permittivityexceeds that of silica. Hafnia-based dielectric films, with a dielectric permittivity atleast five times greater than that of silica, are among the most promising candidatesto meet the requirements for replacing SiO2 as the gate dielectric of choice for fu-ture generations of Si-based CMOS device technology. As the target effective oxidethickness (EOT) of gate dielectrics approaches 1 nm for SiO2, the correspondingHfO2 layer thickness achieves the same capacitance at a thickness of 5 nm. The ad-vantages of the thicker hafnia-based layer include a reduction in gate leakage currentand a more robust process (47, 48). The electrical performance of high-k materials iscritically dependent on the physical properties of the deposited film. Of particular in-terest are the chemical composition (i.e., density and stoichiometry) and the interfaceintegrity (i.e., interface roughness and chemical interdiffusion within adjacent ma-terials) of the deposited film. TEM, RBS (Rutherford backscattering spectroscopy),XPS (X-ray photoelectron spectroscopy), and SIMS are some of the many techniquesemployed to analyze high-k films (49–51).

The compositional integrity of hafnia-based high-k films, deposited through twodistinct surface preparation techniques, was analyzed via APT. The results are col-lected in Figure 9a–f. This study confirms that the deposition of a thin hafnia filmonto a Si surface whose native oxide was removed with hydrofluoric acid immediatelyprior to high-k film deposition results in a nonuniform dielectric film that is infusedwith Si. The O:Hf ratio in the film was 1.78 ± 0.08, and the bulk Hf and O concentra-tions were 13% and 23%, respectively, and the remaining 64% of the film was com-posed of Si. Conversely, the deposition of a thin hafnia film onto a Si surface that hada ∼0.5-nm thermal oxide resulted in a uniform, stoichiometric HfO2 film. The mea-sured O:Hf ratio in the film was 1.92 ± 0.07, and the bulk O and Hf concentrationswere 66% and 34%, respectively, which is consistent with HfO2. Note also the veryhigh spatial resolution achieved in this 1.5-nm [full width half maximum (FWHM)of Si distribution] layer. The application of this capability to transistor developmentand analysis is likely to be especially important to the semiconductor industry.

Metal nitride on high-k dielectrics. TiN is a candidate material for dual-metal gatecontacts on hafnia-based gate dielectrics. B.P. Gorman (private communication) hasanalyzed several blanket-coverage stacks of TiN on HfO. This stack was depositedon a 1-nm-thick SiO2 layer on Si. He studied the effect of a rapid thermal anneal at1000◦C for 5 s on the Hf concentration profile through the structure. Figure 10a

shows the image in which the SiO2 layer is visible.This study is interesting in a number of respects. Not only was the dual-metal

gate analyzed from the poly-Si into the TiN, gate dielectric, and Si channel butthe interface between the TiN metal contact and the high-k was studied with

www.annualreviews.org • Atom Probe Tomography of Electronic Materials 697

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 18: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

Sisubstrate

0

2

4

6

8

10

OHf-O

HfSi-O

0

20

40

60

80

100

Depth (nm)

Co

nce

ntr

atio

n (

ato

mic

%)

Co

nce

ntr

atio

n (

ato

mic

%)

SiHf-OOSi-OHf

Evidence of Si-O underlayerNo evidence of Si-O underlayer

Sisubstrate

a

d

c

b

-1 -0.5 0 0.5 1 1.5 2 2.5 3 3.5 4

Depth (nm)13 14 15 16 17 18 19

Figure 9APT characterization of high-k dielectrics on Si. (a) A segment of an image from specimen A.(b) A concentration profile taken through the dielectric layer in a. (c) A segment of an imagefrom specimen B. (d ) A concentration profile taken through the dielectric layer in c. Note thechange in concentration scales in b and d. (e) Plan view of the 3-D atom map showing Hf (redspheres) and O (blue spheres) atoms. The atom map is broken into an array of boxes or localregions of interest that enable compositional analysis across the film. The image on the leftcorresponds to a and b, and the image on the right corresponds to c and d. ( f ) 1-Dcomposition profiles in the depth direction from two boxes labeled in e.

subnanometer spatial resolution and ∼1024 m−3 (∼1018 cm−3) chemical sensitivity.The mass spectrum in Figure 10b was obtained from the as-deposited films. It isinteresting to consider because it is typical of the level of complexity encountered incompound materials. Molecular ions such as HfO, HfO2, SiO, SiO2, TiN, and N2, inaddition to the monatomic ion species, are observed in the mass spectrum throughoutthe stack.

The concentration profile in Figure 10c was obtained normal to the plane of thedielectric in the unannealed specimen. The study of B.P. Gorman (private communi-cation) illustrated that there is clear overlap between TiN and the high-k dielectric.Whether this overlap is due to surface roughness or chemical mixing is not yet es-tablished, but it does correlate well with STEM-EELS experiments on the samespecimens. Additionally, the authors were able to detect the presence of O within theTiN and H in the gate dielectric (see also Figure 16 below) and at the TiN/dielectricinterface. So far, this information has not been obtainable with STEM or SIMS

698 Kelly et al.

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 19: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

40

41 47

46

45

48

64 70

66

65 71

51

50

52

53

54

55

60

59

58

57

56

63

61e

0

20

40

60

80

100

Depth (nm)

SiOHf

Box 47 Box 64f

Co

nc

en

tra

tio

n (

ato

mic

%)

3 4 5 6 7 8 9

Depth (nm)3 4 5 6 7 8 9

SiOHf

Figure 9(Continued )

techniques. The composition profiles also show the grown SiO2 layer at the high-k/Si interface. After rapid thermal anneal, there is no clear diffusion of Hf into theSiO2 or substrate, although there is a slight increase in the Hf concentration in theTiN after annealing. Furthermore, a good correlation between the compositionalprofiles and the associated electrical data is found.

Polysilicon. Poly-Si is still widely used as the gate electrode of choice within thesemiconductor industry. B dopant atoms are typically implanted into the poly-Si toincrease the overall electrical conductivity and to tailor the appropriate work functionof the poly-Si electrode. The overall doping typically approaches 1 at.%. The Bdopant is generally assumed to be uniformly distributed, but there has never been a

www.annualreviews.org • Atom Probe Tomography of Electronic Materials 699

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 20: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

a

Si

TiN

SiO

O

HfO

8

7

6

5

4

3

2

1z (n

m)

8 6 4 2 0 -2 -4 -6 -8y (nm)

x (nm)8 6 4 2 0 -2 -4 -6 -8

1

100

10

1000

Bin

co

un

t #

110 1201009080706050403020100

Mass-to-charge ratio (u e–1)

HfO++TiN+

SiO+

SiO2+

Si+Si++

O+Ti ++

TiN++

b

Figure 10APT analysis of a dual-metal gate contact on high-k dielectric. (a) Image with TiN-metal gatecontact on HfO2 high-k dielectric on Si. Note the presence of a SiO layer between the hafniaand the Si. (b) Mass spectrum from a TiN-metal gate stack on a HfO2-based dielectric on Si.Note the presence of molecular ions. (c) Concentration profile through the stack nominallynormal to the dielectric layer. Not all species are tracked, so the compositions do not add tounity.

technique that could show its location in the structure. Figure 11 shows an analysisof a poly-Si film deposited onto a thin SiO2 film on Si. In the plan-view image ofthe structure (Figure 11a), B is nonuniformly distributed. The dashed black linesin Figure 11a are drawn through the regions concentrated in B and suggest thatthe B is segregated to grain boundaries. The grain size of this region is consistentwith the 25-nm nominal grain size. Independent verification of this hypothesis was

700 Kelly et al.

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 21: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

0

20

40

60

Si

Ti

Hf

N

80

100

4 6 8

Depth (nm)

Co

nce

ntr

atio

n (

ato

mic

%)

10 12

c

Figure 10(Continued )

not obtained in this particular work but could readily be obtained by correlationwith TEM. Figure 11b shows a concentration profile through a presumed graininterior and grain boundary. The B concentration at the presumed grain boundary isalmost ten times that in the presumed grain interior. This observation is a potentiallyimportant finding for poly-Si processing because it suggests for the first time thatthe B doping is highly heterogeneous and that the grain structure may play a moreimportant role in the conductivity than has been appreciated previously.

Silicides

Nickel (platinum) silicide formation. Transition-metal silicides are used in a widevariety of microelectronic applications for which a good electrical conductor is neededand phase stability during thermal processing of devices is essential. In the M-Si sys-tems it is often possible to have multiple phases, including MSi and M2Si, where Mstands for metal. Hoummada et al. (53) report atom probe observations of the earlystages of Ni–5% Pt silicide formation. In their work they claim to observe the directresult of nucleation and lateral growth of Ni2Si at the interface between a phase with a

www.annualreviews.org • Atom Probe Tomography of Electronic Materials 701

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 22: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

Distance into layer (nm)

BulkBoundary

4

3

2

1

0

Bo

ron

co

nce

ntr

atio

n(x

1021

cm

–3)

0 2 4 6 10815–15

–15

15a

x (nm)

y (n

m)

BSi

ab

Figure 113-D B distribution in poly-Si grains. (a) z-axis view of an APT image of poly-Si, with thepresumed location of grain boundaries marked with a dashed black line. (b) Concentrationprofiles of B across the presumed grain boundary and in the presumed grain interior. Thelocations of the profiles are marked by color-coded dashed lines in a.

composition close to NiSi and the Ni layer at room temperature. Figures 12a–c showatom maps of the principal elements in this structure. Three regions are delineatedby different compositions, as illustrated schematically in Figure 12d. These authorsuse ladder diagrams to depict the concentration profiles through sections A and Bin Figure 12d. Ladder diagrams plot the cumulative number of each type of atomagainst the total number of atoms in the sequence of collected atoms. The cumulativenumber of atoms is used to depict distance through the structure. The slope of a lad-der diagram is the atomic fraction. In Figures 12e and f, the profiles show regions ofconstant composition corresponding to Ni0.63Pt0.05Si0.31 (Ni2Si) and Ni0.50Pt0.05Si0.45

(NiSi). These researchers conclude that, whereas NiSi nucleates uniformly on the Sisubstrate and spreads laterally quickly, the shape of the Ni2Si phase suggests a nucle-ation and growth process by diffusion along the interphase boundary. A nonuniformredistribution of Pt was also observed. This type of observation is highly informativefor development of silicide-based contacts in microelectronic devices.

Dopant segregation to silicide interface. Another silicide analysis performed by P.Ronsheim & K. Thompson (unpublished data) is of interest because the silicide wasformed on an As-implanted and Ge-implanted Si substrate (Figure 13). The moststriking feature of this work is the large amount of As segregation, in this case 24%of the implanted dose, to the silicide/Si interface (Figure 13b). This observation hasbeen made several times in different silicide-forming systems. TEM and SIMS wereunable to detect this As buildup. The presence of elevated levels of As at the interfaceis an important finding because of the significant impact on the electrical conductivityof the combined system when it is used as an electrical contact in electronic devices.

702 Kelly et al.

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 23: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

a

c

b

d

f

e

Volume: 18 x 18 x 39 nm 3 nm

0.05

0.63

0.31

0.05

0.50

0.45

0

0.03

0.97

2 nm

2 nm

0.05

0.63

0.31

A

Ni

Si

Pt

Ni

Si

Pt

Analysis direction

4 - Si post 3 - NiSi

2 - Ni2Si

1 - Ni layer

A

1200

1000

800

600

400

200

0

Tota

l nu

mb

ero

f S

i or

Ni a

tom

s

Ni2Si NiSi Si

2000150010005000

80

60

40

20

0

-20

Total n

um

ber o

f Pt ato

ms

Total number of Ni, Si, Pt atoms

1200

1000

800

600

400

200

0Tota

l nu

mb

er o

f N

i ato

ms

Ni2SiNi

2000150010005000

250

200

150

100

50

0

Total n

um

ber o

fS

i, Pt x 4 ato

ms

Total number of Ni, Si, Pt atoms

Ni

Si

Pt

1600

1400

B

B

Figure 12APT analysis of a Ni–5% Pt Si. Images of (a) Ni, (b) Si, and (c) Pt, showing regions of NiSiand Ni2Si as depicted schematically in d. (e, f ) Ladder diagrams through the regions shown ind. The slopes of the ladder diagrams for each element correspond to their local composition,and these are listed in e and f.

Dose loss in the source and drain regions is a suspect in device failures or in deviceperformance outside the expected specification. Prior to APT there did not exist atechnique capable of detecting the dopant atoms, specifically in this case of dose lossto the silicide, within a specific region of a device. Dopant-related failures were pre-viously not detectable through failure analysis techniques and were therefore difficultto address in a production setting.

Device Analysis

The examples provided above are very useful in their own right during developmentor for forensic studies. However, there are some times when the answers must befound in an actual device, either because the only specimen available is in that form

www.annualreviews.org • Atom Probe Tomography of Electronic Materials 703

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 24: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

120 nm

a

b

Depth (nm)

Ni,

Si (

ato

mic

%) P

t, As (ato

mic %

)

–20 –15 –10 00

10

20

30

40

50

60

70

80

90

100

1

2

3

4

5

6

7

8

–5 5

Si

Ni

As

Pt

Analysis direction

Figure 13APT analysis of NiSi onAs-doped and Ge-doped Sisubstrate. (a) Image showingNi (green), Ge (red ), and As( purple) distributions.(b) Concentration profilethrough the silicide/Siinterface that showssignificant As segregation atthe interface. Thecomposition profile wasobtained with a proximityhistogram (proxigram)analysis (113) and is takenfrom a 60-nm-diametercylinder oriented normal tothe interface.

(e.g., in failure analysis or competitive benchmarking) or because the influence ofthe geometry or processing of an actual device must be evaluated. The question thusarises, “Can an entire device be analyzed by APT?” Consider Figure 14, which showsthe well-known Moore’s law for integrated circuits. The same trend is observed forcharacteristic features in the read sensors of hard disk drives. What is not widelyappreciated is the trend in the field of view for the atom probe. As of this writing,fields of view of up to 200 nm wide are achievable; this is sufficient to encompass mostessential components of a present-day (65-nm-node) CMOS transistor. However,field of view is a necessary but not sufficient condition for this goal. The region ofinterest (ROI), i.e., the transistor, must be located at the apex of the specimen tipwithin this field of view. The techniques described in Figure 1 can be applied tothis site-specific specimen preparation challenge. Both high precision (∼10 nm) andhigh accuracy (±10 nm) laterally and in depth are required to make this work, butit can be done with today’s FIB tools. The state of the art for the technique withmanual positioning of the ROI is making 10 specimens in 3 h and hitting the target

704 Kelly et al.

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 25: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

Atom probefield of view

1000

100

10

Siz

e (n

m)

2000 2002 2004 2006 2008

Year

HDD head features

IC features

Figure 14Evolution of critical featuresize in integrated circuits(IC) and hard-disk drives(HDD) in recent history.This well-known trend isknown as Moore’s Law. Alsoshown is the evolution ofthe field of view of APT,which is not as widelyappreciated. It is nowpossible to analyze an entiretransistor or read sensor in ahard drive at the atomicscale.

HRXTEM:high-resolutioncross-sectional transmissionelectron microscopy

ROI in more than 30% of the specimens. This success rate is quite acceptable andis likely to continue to improve. The example below is from some of the very firstwork of this type. Note also that datasets with a much larger portion of the entiretransistor than this example have been obtained; however, they cannot yet be publiclydisclosed.

Figure 15 shows the ability to monitor the 2-D distribution of dopant atoms in Sidevice structures ( J. S. Moore, K. S. Jones, K. Thompson, R.M. Ulfig, D.J. Larson,H. Kennel, S. Corcoran, unpublished results). In this example, poly-Si lines werepatterned on a thin SiO2 layer grown on 〈100〉 Si, which was subsequently implantedwith As. The oxygen isosurface makes it evident that an oxide layer grew up andaround the poly-Si line when the sample was exposed to air. High-resolution cross-sectional transmission electron microscopy (HRXTEM) was also performed on anidentical feature. This is the first 3-D atomic-scale image of dopant and impurityatoms within an actual Si device structure to be obtained. A HRXTEM image ofan identical structure is shown in Figure 15b for comparison. The implant locationmatches well with the location of As atoms in the LEAP data, as does the roughinterface of the Si/poly-Si coating interface. A 2-D model of the dopant diffusion wascreated through the use of a process simulator (54) (Figure 15c). A 1-D compositionprofile of the lateral distribution of the As was also extracted from the LEAP datafor comparison with the model. There is excellent agreement between the two pro-files, especially with regard to concentration levels. Although more work needs to bedone, the differences in the lateral spreading of the dopant between the two profilesare exactly the kind of experimental input that dopant diffusion models have lackedhistorically. These results represent the first time that the 3-D implant straggle andsubsequent lateral diffusion predicted by a process simulator have been confirmedexperimentally.

In this same structure, a second image (Figure 16) was acquired through the poly-Si gate contact from an identical transistor ( J. S. Moore, K. S. Jones, K. Thompson,R.M. Ulfig, D.J. Larson, H. Kennel, S. Corcoran, unpublished results). This imageis significant because concentration profiles through the surface on either side of thepoly-Si show a large amount of hydrogen (∼10%) in addition to a similar amount ofO (∼10%). We must be careful to consider that, in addition to H in the specimen,

www.annualreviews.org • Atom Probe Tomography of Electronic Materials 705

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 26: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

ba

2 nm

O isoconcentration surface

As atoms

dc

10 20 30

LEAPSimulation

40010 20 3030

20

10

0

40

Lateral distance (nm)Lateral distance (nm)

Co

nce

ntr

atio

n (

# cm

–3)C

on

centratio

n (# cm

–3)

Dep

th (

nm

)

1021

4 x1021

1 x1021

7 x1020

4 x1020

1 x1020

7 x1019

4 x1019

1 x1019

7 x1018

4 x1018

1 x1018

7 x1017

4 x1017

1 x1017

10 20

1019

1018

1021

Figure 15Dopant distribution in a 2-D transistor structure. (a) LEAP image with O isoconcentrationsurface and As distribution illustrated. (b) Correlative HXRTEM of an identical region. Thetwo images are at the same magnification and are vertically aligned. The implant locationcorresponds to the darker contrast area of the HRXTEM micrograph. (c) A 2-D image of thesimulation results from the process simulator, FLOOPS. Isoconcentration contours are plottedfor As concentrations between 1 × 1017 cm−3 and 1 × 1021 cm−3. (d ) 1-D compositionprofiles of lateral As distribution in the channel extracted from both LEAP data and FLOOPSresults along a lateral axis 2 nm below the wafer surface from the location marked in a.

H gas in the vacuum system could be a source of H in the image. However, theH concentration elsewhere in the structure is very low (<1%), which supports theassertion that this is an actual specimen-borne H profile. H is an important elementin semiconductor processing whose contribution to properties is not well understoodprimarily for lack of a way to characterize its presence in the microstructure. APT,

706 Kelly et al.

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 27: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

b b c b

0

2

4

6

8

10

12

Distance (nm)

Co

nce

ntr

atio

n (

ato

mic

%)

O H

O H

c

a

b

5

10

15

20

25

c

–40 –30 –20 –10 0 10 20 30

–40 –30 –20 0 10 20 30y (nm)

z (n

m)

–25 –20 –15 –10 5 10 15 20

–10

Figure 16H distribution in a poly-Sigate. (a) APT imageshowing the native oxide onthe sidewalls as a grayisoconcentration surface. As(purple spheres) and C (greenspheres) are also depicted.(b) Concentration profile ofO and H through the leftside wall as depicted by thebox in a. (c) Concentrationprofile of O and H throughthe right side wall asdepicted by the box in a.

particularly as practiced by a modern LEAP, is the only technique at this time thatcan provide the information illustrated in Figure 16.

This work demonstrates that laser-pulsed APT enables the characterization ofdopant distributions in semiconductor nanostructures of interest. Never before hasit been possible to compositionally map an impurity concentration with such levelsof sensitivity (on the order of 10 ppm) and spatial resolution (∼0.2 nm) in 3-D orwith this field of view (∼100 nm diameter). This dramatic increase in characterizationcapabilities on the atomic scale will expedite the understanding and development ofnovel semiconductor and insulator-based nanotechnologies.

One unintended although not unexpected consequence of this capability to mapdevices at the atomic scale is that it will be difficult to maintain structural secretsin commercial devices. All microscopies and analytical tools can be, and have been,applied to such competitive benchmarking. Modern APT simply raises the bar all theway to the single-atom level.

Compound Semiconductors

Compound semiconductors pose potentially more materials challenges for study byAPT than do Si-based semiconductors because of the addition of the second majorelement. Indeed, although the III-V and II-VI compounds are usually of interest,

www.annualreviews.org • Atom Probe Tomography of Electronic Materials 707

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 28: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

transition-metal silicides are a class of compound semiconductors that are receivingincreasing attention. The thermodynamic possibilities are greatly expanded in thesesystems, and APT is well suited for studying them. For example, when electricalcontact to compound semiconductors is made with a metal, very thin interfacialphases may appear, and the composition of these phases and that of the surroundingmaterial determine the nature of the contact (Schottky or ohmic). This point is nicelyillustrated above in the work on nickel silicide (53). Furthermore, quite often thesecompounds are ordered structures of the form AB, and a third major element, Z,is introduced to substitute for A, producing (AxZ1−x)B alloys, where the relativeamounts of A and Z alter the properties. Segregation or interdiffusion on a nanometerscale therefore can markedly affect the behavior of devices. The two examples belowillustrate this effect.

APT studies of compound semiconductors to date are limited. The first workwas performed by Cerezo and colleagues (34–36, 55) with both a voltage-pulsed3DAP and a laser-pulsed 1-D system. At the same time, work by Hashizume et al.(56), Nishikawa et al. (57), and Tsong et al. (58) illustrated atom probe analysis ofIII-V compounds. The work by Hashizume et al. (56) in particular illustrated howthe experimental parameters of laser- and voltage-pulsed atom probe can affect thestoichiometry of the reconstructed material. Similarly, Nishikawa et al. (57) and Tsonget al. (58) showed how the interpretation of field-evaporated III-V semiconductorscan be quite complex. More recently, Perea et al. (59) analyzed InAs nanowires,which Seidman (9) discusses in this volume. The following two examples illustratemore recent work.

InxGa1−xN/GaN multiple quantum wells. The nanometer-scale distribution ofIn has been called into question (60) in the fabrication of photoluminescent devicescontaining (InxGa1−x)N and in the search for explanations for its brightness as aphotoemitter. TEM examinations of these materials are complicated by the fact thatthe high-energy electron beam can alter the structure, which produces contrast thatcan be interpreted as In clustering. To sort out this, Galtrey et al. (61) used APT toinvestigate the 3-D distribution of In in In0.2Ga0.8N multiple-quantum-well layers(Figure 17a) with particular attention paid to clustering. By drawing isoconcentra-tion surfaces in the images (Figure 17b), they were able to show both visually andquantitatively that no evidence for either high-In-concentration regions or In clus-tering was found in the structure. These findings are contrary to, but are expected tobe more reliable than, many of the TEM studies in the literature.

Multilayer photovoltaics. APT is also well suited for studying compound semi-conductor photovoltaic devices used to convert sunlight into electricity. III-V-baseddevices have achieved the highest conversion efficiency of any photovoltaic [39%(62)], yet they have been predicted to achieve a theoretical value greater than 50%.Studies have been conducted by TEM and SIMS but have found no explanationfor the loss in carrier extraction efficiency. B.P. Gorman, A.G. Norman, & Y. Yan(unpublished data) used APT to analyze the details of these devices, as shown inFigure 18. The three In-doped quantum well layers at the base of the stack serve as

708 Kelly et al.

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 29: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

a

b

Figure 17(a) APT image recorded on an Oxford nanoScience ECOPoSAPtm (Energy-CompensatedOptical Position-Sensitive Atom Probetm) from Galtrey et al. (61). There is no evidence of Inclustering in the multiple quantum wells. The Ga (blue) and In (orange) atoms are displayed.(b) Isoconcentration surfaces displayed for x = 0.2. When x > 0.4 in this type of display, thereis no volume enclosed by an isoconcentration surface. The diameter of the image isapproximately 20 nm at its base.

collectors for holes liberated by incoming photons, whereas the InGaP layer near thetop of the stack serves as the absorber and electron collector. The In-doped layers aredesigned to be quantum well traps for the holes, and their effectiveness is optimizedby steep walls on the quantum well. The abruptness of the In doping in the wells isthus of paramount importance. TEM and SIMS were not able to discern details ofthe In distribution in the wells, but APT is able to provide detail sufficient to positan explanation for their less-than-hoped-for performance. The concentration profilein Figure 18b shows the variations of the concentrations of the major elements withdepth through the device. Figure 18c shows details of the In concentration in the

www.annualreviews.org • Atom Probe Tomography of Electronic Materials 709

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 30: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

All atoms As atoms In and P atoms

0

10

20

30

40

50

60

Co

nce

ntr

atio

n (

ato

mic

%)

P As Ga In

0

1

2

3

4

5

a

Growth direction

b

Depth (nm)0 10 20 30 40 50 60 70 80 90 100 110 120 130

Depth (nm)

Co

nce

ntr

atio

n(a

tom

ic %

)

105 115 125

P In

c

20

40

60

80

100

120

20

40

60

80

100

120

20

40

60

80

100

120

y (nm)

z (nm

)

y (nm)

z (nm

)

y (nm)z (n

m)

30 10 0 –30–1020 –20 30 10 0 –30–1020 –20 30 10 0 –30–1020 –20

710 Kelly et al.

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 31: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

Heavy ion–tolerant (HIT)cell: a type of photovoltaictechnology for solar energyconversion

In-doped quantum wells. The abruptness of the interface at the undoped-to-dopedtransition (0.6 nm per decade of composition) is much greater than the abruptness ofthe interface at the doped-to-undoped transition (2 nm per decade of composition).The diffuseness of the latter interface is likely to result in a loss of carrier confine-ment, which can explain the device’s performance. Note also the fact that the Gaand As concentrations deviate from 50% each. Figure 18 was prepared prior to theidentification of a peak that is now known to be an As cluster. When the additionalAs is counted, the compositions of As and Ga are 50% each.

Silicon photovoltaics. Although III-V photovoltaics offer high efficiency, Si-basedphotovoltaics hold the promise of both high efficiency and low cost. Devices made ofthin-film amorphous Si:H, also known as heavy ion–tolerant (HIT) cells, as emittersin Si-based photovoltaics have achieved efficiencies of greater than 17% (63). State-of-the-art HIT cells utilize sub-10-nm-thick doped and intrinsic Si layers, usuallygrown by plasma-enhanced chemical vapor deposition (PECVD) or hot-wire CVD(HWCVD). These techniques incorporate a certain fraction of H into the layers,which aids in surface passivation and increases the bandgap of the layer as comparedwith crystalline Si.

One of the critical issues in obtaining high-efficiency HIT cells is to grow anatomically abrupt interface at the a-Si:H/crystalline Si interface. TEM studies havesuggested the presence of structurally abrupt interfaces (64). Gorman et al. have re-cently studied this interface in detail with APT (B.P. Gorman, Y. Yan, K. Thompson,& R.M. Ulfig, unpublished data). Atom probe specimens from full cells were extractedthrough the use of the FIB process mentioned above and analyzed through the useof a laser-pulsed atom probe. Entire devices consisting of an (In,Sn)O (ITO) trans-parent contact, a 5-nm-thick doped a-Si:H layer, a 5-nm undoped a-Si:H layer, and acrystalline Si substrate were analyzed. Gorman et al. were the first to illustrate atomprobe analysis of a transparent conducting oxide and to demonstrate its effective-ness, even in laser-pulsed mode (B.P. Gorman, Y. Yan, K. Thompson, & R.M. Ulfig,unpublished data). The results also illustrated the atom probe’s effectiveness in study-ing ITO/Si interfaces and dopant profiling through the 5-nm-thick a-Si:H layers. Hprofiles in the a-Si:H layers were also present, but the H background in the UHV(ultrahigh vacuum) analysis system made quantification difficult. From the H anddopant profiles as well as the compositional information about the a-Si:H/crystallineSi interfaces, recommendations about improving the efficiency of thin-film Si deviceswere made.

←−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−Figure 18APT analysis of a multilayer photovoltaic. (a) Images of the multilayer stack. (b) Concentrationprofile through the stack along the subvolume depicted in a. (c) Detail of the concentrationprofile through the In-doped layers. The abruptness of the interfaces of the topmost In-dopedlayer is measured to be 0.6 nm per decade of composition change in going from undoped todoped and 2 nm per decade of composition change in going from doped to undoped.

www.annualreviews.org • Atom Probe Tomography of Electronic Materials 711

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 32: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

Giganticmagnetoresistance(GMR) structures:thin-film metal multilayerstructures that have a verylarge change in resistivitywith magnetic field

Tunnelingmagnetoresistance(TMR) structures:thin-film metal/dielectricmultilayer structures thathave a very large change intunneling current throughthe dielectric with magneticfield

Thin Films for Data Storage

APT has been used rather extensively to investigate the microstructure of metal andoxide films, both thick and thin. These films have many uses, but in the world ofmicroelectronics, the studies are dominated by data storage applications. Thus, thissection focuses on data storage.

A number of papers have detailed the results of atom probe studies in which thefilms of interest were fabricated by many different methods, including depositiondirectly onto pre-evaporated needle-shaped specimens, fabrication from electrode-posited films, lithographic patterning subsequent to deposition, and deposition ontoprepatterned flat substrates. Although an exhaustive review is outside the scope ofthis work (for the most recent review on this topic prior to this work, see Ref-erence 21), a brief listing is included here for the sake of collecting references inone place. Single and multilayer metal films investigated through the use of APTinclude Fe/Cr (55, 65, 66), Cu/Pd (67), CoCr-based alloys (68–70), CoFe-based al-loys (71–73), Ni-based electrodeposited films (74–76), NiFe/Cu (77–79), CoFe/NiFe(42), CoFe/Cu (11, 12, 42, 80–87), CoFe/PtMn (87, 88), Co/Al (89–92), Ni/Zr (67),Ni/Cu (93), Ni/Al (94–97), Fe/Tb (98), Nb/(Ti,Zr) (99, 100), Fe/Dy (101, 102), Al/Ag(103), and Co/Pd (21, 104) as well as several studies of thin oxide films (41, 105–107).

Data storage applications. In recent years there has been a high level of interest inthin-film layered structures owing to the novel magnetic properties they exhibit. Theresearch and development of these types of structures have driven much of the arealdensity advances (reflecting an increase of >60 million times) in the past ∼50 yearsin the magnetic storage industry (Figure 19) (108). Multilayer films contained inmagnetic recording devices often are formed by alternate depositions of several dif-ferent elements or compounds, usually with layers that are 1–5 nm thick. In the fieldof magnetic recording, of particular interest are layered structures formed from oneor more transition-metal magnetic (both soft and hard) materials; examples includehigh-density magnetic recording media and sensors based on the giant magnetoresis-tance (GMR) or tunneling magnetoresistive (TMR) phenomena such as those usedfor read heads (109, 110). Layered structures based on Pt, Mn, Co, Ni, Fe, Cu, andtheir alloys form substructures of devices such as the spin valve (52), which exhibitsGMR (110) or TMR oxide barrier structures (111). Changes in the individual layersinfluence the magnitude of the magnetoresistance effect, and there has been muchresearch devoted to the dependence of the magnetoresistance ratio on the specificnature of nanoscale-layered structures. Chemical mixing and interfacial roughness,for example, are likely to have very different effects on device properties; therefore,establishing the correlation between structure and properties benefits from the abil-ity to distinguish between roughness and intermixing at the near-atomic scale (21).For recent reviews of modern magnetic materials in data storage, see References 108and 112. This section presents examples of the use of Local Electrode APT to ob-tain nanoscale microstructural characterization in a variety of magnetic structures,including metallic multilayers, oxide tunnel barriers, and hard magnetic thin films.

712 Kelly et al.

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 33: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

Possiblerange offutureprojects

Production year

Are

al d

ensi

ty (

meg

abit

s in

–2)

HDD productsIndustry lab demosHDD products with PR

First MR head

First GMR head

60% CGR

100% CGR

First AFC media

Perpendicularrecording

106

105

104

103

102

10

1960 1970 1980 1990 2000 2010

1

10–1

10–2

10–3

25% CGR

(First HDD)

First thin film head

64-million-time

increase

PR projection

MTJ head?

Figure 19Evolution of the data storage areal density from the first hard-disk drive (HDD) to the nearfuture. Other abbreviations used: AFC, antiferromagnetically coupled (media); CGR,compounded growth rate; GMR, giant magnetoresistance; MR, magnetoresistance; MTJ,magnetic tunnel junction; PR, perpendicular recording.

CoFe/PtMn magnetic multilayers. A Mn-based film (usually alloyed with Pt orIr) is often used in reader structures as an antiferromagnetic (AFM) layer to fix theorientation of the magnetic moment of an adjacent soft magnetic layer (usually a Ni-or Co-based alloy) and provide a reference layer for the read sensor. Characterizationof the interface between such layers (e.g., CoFe/PtMn) is thus of interest owing to thepotential dependence of the device performance on the interface composition in theselayers. LEAP analysis has been applied to CoFe/PtMn structures to determine thechemical mixing at the two types of interfaces present (88). Figure 20a shows a 3-Datom map of a repeated bilayer structure of CoFe(3)/PtMn(15). The labeled interfaces(A and B) are formed by deposition of PtMn onto CoFe and vice versa, respectively.The composition at these two interfaces is different, shown by proximity histogramanalysis (113) (Figure 20b): Interface A has a composition of Co-35Pt-25Mn-5Fe(in at.%), and interface B has a composition of Co-35Mn-25Pt-5Fe. The reason forthese compositional variations at what is nominally the same interface may be the

www.annualreviews.org • Atom Probe Tomography of Electronic Materials 713

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 34: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

PtMn

CoFe

15 nm

A

B

a

0

10

20

30

40

50

60

70

80

90

Co

nce

ntr

atio

n (

ato

mic

%)

Distance (nm)

Growth direction

AB

ABb

–5 –4 –3 –2 –1 0 1 2 3 4 5

Pt Co Mn Fe

Figure 20APT analysis of a multilayer stack typically employed in GMR structures. (a) PtMn/CoFe 3-Datom map. The interfaces labeled A and B are formed by the deposition of PtMn onto CoFeand vice versa, respectively. (b) Proximity histogram concentration profile relative to the CoFelayer labeled with surfaces A and B in a. The Pt concentration at surface A is higher than Mn,and this order is reversed at surface B.

714 Kelly et al.

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 35: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

30 x 30 x 40 nm

Ni

ba

CoPtMn

RuMO

5% 10%

15% 20%

c d

e f

Figure 21APT analysis of a TMR multilayer structure. (a) 3-D atom map that includes a metal oxidelayer. (b) Isoconcentration surface at M + O > 5%. The identity of the metal atoms is knownbut cannot be divulged at this time. (c–f ) Plan view of isoconcentration surfaces at which thesurface is set to enclose M + O = 5%, 10%, 15%, and 20%, respectively.

www.annualreviews.org • Atom Probe Tomography of Electronic Materials 715

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 36: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

significant difference in the surface free energy of Mn (1.6 mJ m−2) compared withthat of Co, Pt, and Fe (all ∼2.5 mJ m−2) (114, 115), which causes the Mn to “float”on the surface of the growing film during deposition. As it is possible to fabricateread sensors having the AFM layer above or below the CoFe reference layer, thisobservation has implications for the design and performance of such devices.

Tunneling magnetoresistive structures. TMR structures used in the reader com-ponent of hard drives are used to magnetically orient, or “write,” the small hard

0

10

20

30

40

50

60

70

80

90

100

Co

ncen

trati

on

(ato

mic

%)

CoRuPtMnM + O

c

Distance (nm)13 14 15 16 17 18 19 20 21 22 23 24 25

a b

Figure 22Local composition examined with concentration profiles through the tunneling layer. (a) Sideview, (b) plan view, and (c) concentration profile through the tunneling layer at a location ofhigh M + O. (d ) Side view, (e) plan view, and ( f ) concentration profile through the tunnelinglayer at a location of low M + O. The cylinders in a and d and crosshairs in b and e mark thesubvolume used to calculate the concentration profile in each case.

716 Kelly et al.

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 37: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

magnetic regions on the media where individual bits are used for storing data. Owingto the continued trend toward larger hard-drive capacities (Figure 19), decreasingthe size and performance of TMR structures is of great interest. Recently, the fea-sibility of analyzing simplified TMR test structures to investigate layer chemistryand morphology via APT was demonstrated (105–107). The current work presentsLEAP analyses performed on a trial TMR structure with a barrier layer (labeledM + O for barrier metal + oxygen). Figure 21a displays a 3-D atom map of theTMR structure, resolving each layer as it was deposited: Starting with a Si substrate,the following layers were PtMn, CoFe, Ru, CoFe, a metal oxide barrier, CoFe, anda protective Ni-based cap. In Figure 21b, an isoconcentration surface enclosing allvolume containing (M + O) > 5% is shown and allows the morphology of the barrier

0

10

20

30

40

50

60

70

80

90

100

Co

ncen

trati

on

(ato

mic

%)

CoRuPtMnM + O

f

Distance (nm)13 14 15 16 17 18 19 20 21 22 23 24 25

ed

Figure 22(Continued )

www.annualreviews.org • Atom Probe Tomography of Electronic Materials 717

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 38: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

to be visualized. At this level of concentration, the barrier appears continuous over aregion of 1–2 nm.

Varying the level of (M + O) used to form the isoconcentration surfaces resultsin the barrier morphologies shown in Figures 21c–f (plan view). “Pinholes” (116,117) start to appear in the barrier at ∼10% (M + O), and most of the barrier has(M + O) < 20%, as shown in Figure 21f. The local composition of regions may beinvestigated using concentration profiles positioned through regions of high and low(M + O) levels (Figure 22), which reveals several interesting features. Comparisonof the barrier in the high (Figure 22a) and low (Figure 22d ) regions of (M +O) show that significant levels of Co are mixed into the oxide barrier, as has beenobserved previously (96, 97). Even in the profile through a good barrier region with(M + O) � 20% (Figure 22a), there is ∼25% Co intermixed into the barrier. Inaddition, Figure 22a shows an asymmetric distribution of the barrier, in agreementwith previous investigations (97).

Magnetic recording media. Hard drives using perpendicular recording media haverecently been demonstrated in industry laboratories (2002–2006 in Figure 19),continuing the increases in areal density. In perpendicular recording media, the

CoCrCoCrPt+SPt+SiOiO

Ru

Si/S/Seedeed/Ru 20 nm0 nm /CoPoPtCrSiO20 nm0 nm /Cap

CoCrCoCrPt+SPt+SiOiO

CoCrPt+SiO22

Ru

Si/Seed/Ru 20 nm /CoPtCrSiO20 nm /Cap

35 nm

a

Ru35 nm

Si O

b c

CoCrPt+SiO

Figure 23APT analysis of mediamaterial for magnetic datastorage. (a) Side view of animage showing the Ru seedlayer and media layer. Coand Ru atom positions areshown as blue and red dots,respectively. (b) Plan-viewsection from the boxedregion in the Ru seed layerin a. (c) Plan-view sectionfrom the boxed region inthe media layer in a. Thearrows in b and c point to acommon X,Y location that ispresumed to be a grainboundary common to bothlayers.

718 Kelly et al.

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 39: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

orientation of the stored bit is perpendicular to the medium rather than in the planeof the disk. This allows the bits to be packed closer together while still maintaininggood signal-to-noise ratios and thermal stability.

The use of O and other elements to magnetically isolate individual grains in Coalloys (118, 119) is currently being investigated to increase the performance (i.e.,create smaller bit sizes) in perpendicular recording media. An oriented nonmagneticlayer (often a Ru-based alloy) is used to seed the magnetic layer (Co alloy) to engineerboth grain size and crystallography. Figure 23 shows a cross-sectional LEAP atommap and reveals individual {0001} planes in the Ru seed layer (120). In Figures 23b

and c, plan-view sections of the two layers from the boxed regions of Figure 23a

reveal the individual grains in the seed layer (Figure 23b) and the correspondingsection of the Co alloy (Figure 23c) positioned above the seed layer. In the plan-viewsection of the Co alloy, the segregation of O and Si to the grain boundaries is shownby the higher concentration of both Si and O observed in the regions above the grainboundaries in the Ru seed layer.

CONCLUSIONS

At a time when electronic materials structures are being engineered at the sub-100-nmlength scale, APT has emerged as a commercial analytical tool capable of providingcrucial information for research, development, and process engineering. Its capabil-ity to deliver compositional imaging at the atomic scale from whole devices is un-surpassed. Specimen preparation developments, particularly with FIB instruments,have improved to the point that they facilitate site-specific analyses with sub-10-nmpositioning precision in a several-hour time frame. 3-D dopant profiling with highsensitivity (∼10 appm), concentration profiling with 0.2-nm spatial resolution, andH mapping are important characteristics that are unique to APT. These and othercapabilities provide a large amount of newly available information and will impact theway that components and whole devices are developed and processed in the future.

SUMMARY POINTS

1. APT produces 3-D compositional images at the atomic scale with very high[10 atomic parts per million (appm)] analytical sensitivity.

2. Specimen preparation with FIB instruments has improved to the point thatsite-specific analyses with sub-10-nm positioning precision are possible in aseveral-hour time frame.

3. Microtip arrays enable the rapid preparation of a large number of nearlyidentical atom probe samples.

4. Both component-level and device-level analyses offer unique informationand will each play a role in the electronic materials industries.

5. Pulsed-laser APT has been used to map 1-D, 2-D, and 3-D distributions ofB, As, and P with high spatial and compositional resolution.

www.annualreviews.org • Atom Probe Tomography of Electronic Materials 719

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 40: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

6. The ability to characterize chemically abrupt transitions with subnanometerspatial resolution (<1 nm per decade of concentration) is one of the keyadvantages of APT for the characterization of buried interfaces.

7. Dopant-related failures were previously not detectable through failure anal-ysis techniques and were therefore difficult to address in a production setting.

8. These results represent the first time that the 3-D implant straggle and sub-sequent lateral diffusion predicted by a process simulator have been con-firmed experimentally.

FUTURE ISSUES

1. Objective, reproducible, and accurate scaling in all dimensions is a key tomaking APT a true metrology tool.

2. Even larger fields of view will make it possible to reach higher sensitivitylevels for a given depth increment.

3. Analysis of an entire active device is within reach of APT.

4. One unintended although not unexpected consequence of this capabilityto map devices at the atomic scale is that it will be difficult to maintainstructural secrets in commercial devices.

ACKNOWLEDGMENTS

Atom probe, electron, and ion beam instrumentation were acquired at University ofNorth Texas through Army Research Laboratory Grant No. W911NF-06-1-0261.

LITERATURE CITED

1. Moore GE. 1965. Cramming more components onto integrated circuits.Electronics 38:114–17

2. Miller MK, Cerezo A, Hetherington MG, Smith GDW, eds. 1996. Atom ProbeField Ion Microscopy. Oxford, UK: Oxford Univ. Press

3. Kelly TF, Miller MK. 2007. Atom probe tomography. Rev. Sci. Instrum.78:031101

4. Muller EW, Panitz JA, McLane SB. 1968. The atom-probe field ion microscope.Rev. Sci. Instrum. 39:83

5. Muller EW. 1951. Das Feldionenmikroskop. Z. Phys. 131:136–426. Muller EW. 1936. Versuche zur Theorie der Electronenemission unter der

Einwirkung hoher Feldstarken. Z. Tech. Phys. 17:412–167. Muller EW, Tsong TT. 1969. Field Ion Microscopy: Principles and Applications.

New York: Elsevier

720 Kelly et al.

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 41: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

8. Kellogg GL, Tsong TT. 1980. Pulsed-laser atom-probe field-ion microscopy.J. Appl. Phys. 51:1184–94

9. Seidman DN. 2007. Three-dimensional atom-probe microscopy: advances andapplications. Annu. Rev. Mater. Res. 37:127–58

10. Waugh AR, Payne S, Worrall GM, Smith GDW. 1984. In situ ion milling offield ion specimens using a liquid metal ion source. J. Phys. 45:207–9

11. Larson DJ, Petford-Long AK, Cerezo A, Smith GDW, Foord DT, AnthonyTC. 1998. Three-dimensional atom probe field-ion microscopy observation ofCu/Co multilayer film structures. Appl. Phys. Lett. 73:1125–27

12. Larson DJ, Petford-Long AK, Cerezo A, Smith GDW. 1999. Three-dimensional atom probe studies of metallic multilayers. Acta Mater. 47:4019–24

13. Larson DJ, Foord DT, Petford-Long AK, Cerezo A, Smith GDW. 1999. Fo-cused ion-beam specimen preparation for atom probe field-ion microscopycharacterization of multilayer film structures. Nanotechnology 10:45–50

14. Larson DJ, Foord DT, Petford-Long AK, Liew H, Blamire MG, et al. 1999.Field-ion specimen preparation using focused ion-beam milling. Ultramicroscopy79:287–93

15. Larson DJ, Wissman BD, Martens RL, Viellieux RJ, Kelly TF, et al. 2001. Ad-vances in sharp needle fabrication from multilayer thin film structures. Microsc.Microanal. 7:24–31

16. Schleiwies J. 2001. Nanoanalyse hochster Auflosung von metallischen Schichtsystemen.PhD thesis, University of Gottingen/Germany, Institut fur Materialphysik

17. Thompson GB, Miller MK, Fraser HL. 2004. Some aspects of atom probespecimen preparation and analysis of thin film materials. Ultramicroscopy 100:25–34

18. Kelly TF, Martens RL, Goodman SL. 2003. U.S. Patent No. 6,576,90019. Thompson K, Lawrence DJ, Larson DJ, Olson JD, Kelly TF, Gorman BP.

2007. In-situ site-specific specimen preparation for atom probe tomography.Ultramicroscopy 107:131–39

20. Miller MK, Russell KF, Thompson GB. 2005. Strategies for fabricating atomprobe specimens with a dual beam FIB. Ultramicroscopy 102:287–98

21. Larson DJ, Petford-Long AK, Ma YQ, Cerezo A. 2004. Information storagematerials: nanoscale characterization by three-dimensional atom probe analysis.Acta Mater. 52:2847–62

22. Kuhlman KH, Martens RL, Kelly TF, Evans ND, Miller MK. 2001. Fieldion microscopy and three-dimensional atom probe analysis of metamorphicmagnetite crystals. Ultramicroscopy 89:169–76

23. Kuhlman KH, Kelly TF, Miller MK. 2004. Atomic-scale analysis of metamor-phic magnetite using field ion microscopy and atom probe tomography. Microsc.Microanal. 10:512–13

24. Colijn HO, Kelly TF, Ulfig RM, Buchheit RG. 2004. Site-specific FIB prepa-ration of atom probe samples. Microsc. Microanal. 10:1150–51

25. Miller MK, Russell KF. 2006. FIB-based atom probe specimen preparation ofpowders. Microsc. Microanal. 12:1294–95

www.annualreviews.org • Atom Probe Tomography of Electronic Materials 721

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 42: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

26. Kvist A, Andren HO, Lundin L. 1996. A specimen preparation technique foratom probe analysis of the near-surface region of cemented carbides. Appl. Surf.Sci. 94/95:356–61

27. Thompson K, Booske JH, Larson DJ, Kelly TF. 2005. Three-dimensional atommapping of dopants in silicon nanostructures. Appl. Phys. Lett. 87:052108

28. Miller MK, Liu CT, Wright JA, Tang W, Hildal K. 2006. APT characterizationof some iron-based bulk metallic glasses. Intermetallics 14:1019–26

29. Thompson K, Gorman BP, Larson DJ, van Leer B, Hong L. 2006. Minimiza-tion of Ga induced FIB damage using low energy clean-up. Microsc. Microanal.12:1736–37

30. US Natl. Inst. Stand. Technol./Stand. Ref. Mater. (NIST SRM-2137). Availablefrom the Off. Calibration Stand.

31. Geiser BP, Kelly TF, Schneir J, Roberts JP, Larson DJ, Wiener SA. 2006. U.S.Patent Appl. No. 3925-8018 US00

32. Gorman BP, Diercks D, Kaufman MJ, Ulfig RM, Lawrence D, et al. 2006.Atomic scale compositional and structural characterization of nanostructuredmaterials using combined FIB, STEM, and LEAP. Microsc. Microanal. 12:1720–21

33. Cerezo A, Grovenor CRM, Smith GDW. 1986. Pulsed laser atom probe analysisof III-V compound semiconductors. J. Phys. Colloq. 47:309

34. Grovenor CRM, Cerezo A, Liddle JA, Smith GDW. 1987. Pulsed laser atomprobe analysis of semiconductor materials. Inst. Phys. Conf. Ser. 87:665

35. Liddle JA, Norman A, Cerezo A, Grosvenor CRM. 1988. Pulsed laser atomprobe analysis of ternary and quarternary III-V epitaxial layers. J. Phys.49(C6):509–14

36. Liddle JA, Norman A, Cerezo A, Grosvenor CRM. 1989. Application ofposition-sensitive atom probe to the study of the microchemistry and mor-phology of quantum well interfaces. Appl. Phys. Lett. 54:1555

37. Melmed AJ, Martinka M, Girvin SM, Sakurai T, Kuk Y. 1981. Analysis of highresistivity semiconductor specimens in an energy-compensated time-of-flightatom probe. Appl. Phys. Lett. 39:416–17

38. Tsong TT, Block JH, Nagasaka M, Viswanathan B. 1976. Photon stimulatedfield ionization. J. Chem. Phys. 65:2469–70

39. King RA, MacKenzie RAD, Smith GDW, Cade NA. 1994. Atom probe analysisand field emission studies of silicon. J. Vac. Sci. Technol. B 12:705–9

40. Miller MK, Angelini P, Cerezo A, Moore KL. 1989. Pulsed laser atom probecharacterization of silicon carbide. J. Phys. 50:459–64

41. Gault B, Menand A, de Geuser F, Deconihout B, Danoix R. 2006. Investigationof an oxide layer by femtosecond-laser-assisted atom probe tomography. Appl.Phys. Lett. 88:114101

42. Larson DJ, Cerezo A, Martens RL, Clifton PH, Kelly TF, et al. 2000. Atomic-scale analysis of CoFe/Cu and CoFe/NiFe interfaces. Appl. Phys. Lett. 77:726–28

43. Kelly TF, Gribb TT, Martens RL, Larson DJ, Tabat N, et al. 2000. Localelectrode atom probes: prospects for 3D atomic-scale metrology applicationsin the semiconductor and data storage industries. Proc. ULSI Charact. Metrol.Conf., 550:620–29. New York: Am. Inst. Phys

722 Kelly et al.

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 43: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

44. Thompson K, Bunton JH, Kelly TF, Larson DJ. 2006. Characterization of ultralow energy implanted dopants with the 3-D local electrode atom probe. J. Vac.Sci. Technol. B 24:421–27

45. Rau WD, Schwander P, Ourmazd A. 2000. Two-dimensional mapping of pnjunctions by electron holography. Phys. Status Solid. B 222:213–17

46. McCartney MR, Gribelyuk MA, Li J, Ronsheim P, McMurray JS, SmithDJ. 2002. Quantitative analysis of one-dimensional dopant profile by electronholography. Appl. Phys. Lett. 80:3213–15

47. Int. Technol. Roadmap Semicond. (ITRS). 2005. http://www.itrs.net/Links/2005ITRS/Home2005.htm

48. Wilk GD, Wallace RM, Anthony JM. 2001. High-κ gate dielectrics: currentstatus and materials properties considerations. J. Appl. Phys. 89:5243–75

49. Puurunen RL, Delabie A, Van Elshocht S, Caymax M, Green ML, et al. 2005.Hafnium oxide films by atomic layer deposition for high-k gate dielectric ap-plications: analysis of the density of nanometer-thin films. Appl. Phys. Lett.86:073116

50. Ehrke U, Sears A. 2004. High resolution depth profiling of nm-thin layers ofSTO in high-k oxide materials with SIMS. Poster ALD 2004 Conf., Helsinki,Finland

51. Tsai W, Chen J, Carter R, Cartier E, Cosnier V, et al. 2002. Integration issues ofpoly-silicon with high k dielectrics deposited by Atomic Layer Chemical Vapor Deposition.Presented at ECS Semiconductor Silicon 2002, 201st, Philadelphia

52. Dieny B, Speriosu VS, Metin S, Parkin SSP, Gurney BA, et al. 1991. Magne-totransport properties of magnetically soft spin-valve structures. J. Appl. Phys.69:4774–79

53. Hoummada K, Cadel E, Mangelinck D, Perrin-Pellegrino C, Blavette D,Deconihot B. 2006. First stages of the formation of Ni silicide by atom probetomography. Appl. Phys. Lett. 89:181905

54. Law ME, Cea SM. 1998. Continuum based modeling of silicon integrated cir-cuit processing: an object oriented approach. Comput. Mater. Sci. 12:289–308

55. Cerezo A, Hetherington MG, Petford-Long AK. 1989. Visualization and anal-ysis of 3-dimensional atom probe data. J. Phys. 50:523–28

56. Hashizume T, Hasegawa Y, Kobayashi A, Sakurai T. 1986. Atom-probe inves-tigation of III-V semiconductors: comparison of voltage-pulse and laser-pulsemodes. Rev. Sci. Instrum. 57:1378–80

57. Nishikawa O, Nomura E, Kawada H, Oida K. 1986. Mass analysis of galliumarsenide and gallium phosphide by the combined atom-probe. J. Phys. 47:297–303

58. Tsong TT, Ng YS, Melmed AJ. 1978. TOF (time of flight) atom-probe massspectra of gallium arsenide. Surf. Sci. 77:L187–92

59. Perea DE, Allen JE, May SJ, Seidman DN, Lauhon LJ. "Three-dimensional nanoscale [*]composition mapping of semiconductor nanowires. Nano Letters 6:181–85

60. Graham DM, Soltani-Vala A, Dawson P, Godfrey MJ, Smeeton TM, et al.2005. Optical and microstructural studies of InGaN/GaN single-quantum-wellstructures. J. Appl. Phys. 97:103508

www.annualreviews.org • Atom Probe Tomography of Electronic Materials 723

*This PDF ammended on (2 Aug. 2007): See explanation at http://arjournals.annualreviews.org/errata/matsci

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 44: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

61. Galtrey MJ, Oliver RA, Kappers MJ, Humphreys CJ, Stokes DJ, et al. 2007.Three dimensional atom probe studies of an InxGa1−xN/GaN multiple quan-tum well structure: assessment of possible indium clustering. Appl. Phys. Lett.90:061903

62. Norman AG, Hanna MC, Dippo P, Levi DH, Reedy RC, et al. 2005.InGaAs/GaAs QD superlattices: MOVPE growth, structural and optical char-acterization, and application in intermediate-band solar cells. Conf. Rec. IEEEPhotovolt. Spec. Conf., 31st, Lake Buena Vista, FL, pp. 43–48. Piscataway, NJ:IEEE

63. Wang TH, Page MR, Iwaniczko E, Wang Q, Xu Y, et al. 2005. 17.5% p-typesilicon heterojunction solar cells with HWCVD a-Si:H as the emitter and backcontact. NREL Report No. CP-520-38942, NREL, Golden, Colo.

64. Yan Y, Page MR, Wang TH, Al-Jassim MM, Branz HM, Wang Q. 2006. Atomicstructure and electronic properties of c-Si/a-Si:H heterointerfaces. Appl. Phys.Lett. 88:121925

65. Petford-Long AK, Cerezo A, Hyde JM. 1992. Atom probe analysis and mod-elling of interfaces in magnetic multilayers. Ultramicroscopy 47:367–74

66. Petford-Long AK, Doole R, Cerezo A, Jakubovics JP, Conyers JS. 1993. Theeffects of annealing magnetic domain structure and interface profile in sputteredFe/Cr multilayer films. J. Magn. Magn. Mater. 126:117–20

67. Al-Kassab T, Macht MP, Wollenberger H. 1995. FIM/AP analysis of Cu-Pdmultilayers. Appl. Surf. Sci. 87/88:329–36

68. Pundt A, Michaelsen C. 1995. APFIM study of the compositional inhomogene-ity of sputtered Co-Cr magnetic thin film. Appl. Surf. Sci. 87/88:264–70

69. Hono K, Yeh K, Maeda Y, Sakurai T. 1995. Three-dimensional atom probeanalysis of a sputter-deposited Co-Cr thin film. Appl. Phys. Lett. 66:1686–88

70. Nishimaki J, Hono K, Hasegawa N, Sakurai T. 1996. Three-dimensional atomprobe analysis of Co-Cr-Ta thin film. Appl. Phys. Lett. 69:3095–97

71. Hono K, Maeda Y, Li JL, Sakurai T. 1993. Atom probe analysis of sputteredCo-Cr magnetic thin films. Appl. Surf. Sci. 67:386–90

72. Hono K, Hasegawa N, Babu SS, Fujimori H, Sakurai T. 1993. Atom probeanalysis of a nanocrystalline Fe-C-Ta sputtered soft magnetic thin film. Appl.Surf. Sci. 67:391–97

73. Hono K, Maeda Y, Babu SS, Sakurai T. 1994. Compositional inhomogeneitiesin sputtered Co-Cr magnetic thin films studied by atom probe field ion mi-croscopy. Appl. Phys. Lett. 76:8025

74. Thuvander M, Abraham M, Cerezo A, Smith GDW. 2001. Thermal stabilityof electrodeposited nanocrystalline nickel and iron–nickel alloys. Mater. Sci.Technol. 17:961–70

75. Marquis EA, Talin AA, Kelly JJ, Goods SH, Michael JR. 2006. Effects of currentdensity on the structure of Ni and Ni-Mn electrodeposits. J. Appl. Electrochem.36:669–76

76. Talin AA, Marquis EA, Goods SH, Kelly JJ, Miller MK. 2006. Thermal stabilityof Ni-Mn electrodeposits. Acta Mater. 54:1935

724 Kelly et al.

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 45: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

77. Larson DJ, Petford-Long AK, Cerezo A, Foord DT, Anthony TD, MillerMK. 1999. Atom probe field ion microscopy of multilayer thin films.Microsc. Microanal. 5:150

78. Ene CB, Schmitz G, Kirchheim R, Hutten A. 2005. Stability and thermal reac-tion of GMR NiFe/Cu thin films. Acta Mater. 53:3383–93

79. Vovk V, Schmitz G, Hutten A. 2006. Mismatch-induced recrystallization ofgiant magneto-resistance multilayer systems. Appl. Phys. Lett. 88:023120

80. Larson DJ, Petford-Long AK, Cerezo A. 1999. Microstructural investigationof Cu2nm/Co2nm multilayer film structures. Mater. Sci. Eng. A 270:69

81. Schleiwies J, Schmitz G, Heitmann S, Hutten A. 2001. Nanoanalysis ofCo/Cu/NiFe thin films by tomographic atom probe. Appl. Phys. Lett. 78:3439–41

82. Lang C, Schmitz G. 2003. Microstructure-controlled interdiffusion ofCu/Co/Au thin films investigated by three-dimensional atom probe. Mater. Sci.Eng. A 353:119–25

83. Larson DJ, Petford-Long AK, Cerezo A, Bozeman SP, Morrone A, et al. 2003.The mechanisms by which oxygen acts as a surfactant in giant magnetoresistancefilm growth. Phys. Rev. B 67:144420

84. Vurpillot F, Larson DJ, Cerezo A. 2004. Improvement of multilayer analyseswith a three-dimensional atom probe. Surf. Interf. Anal. 36:552–58

85. Vurpillot F, Larson DJ, Blavette D, Cerezo A. 2004. Improving nanoscale anal-yses with the 3DAP: a modeling approach. Micros. Microanal. 10:384

86. Ladwig PF, Olson JD, Bunton JH, Larson DJ, Ulfig RM, et al. 2005. Thermalstability of Co-Fe and Cu multilayered thin films. Appl. Phys. Lett. 87:121912

87. Larson DJ. 2006. Atom probe characterization of nanomagnetic materials. ThinSolid Films 505:16–21

88. Larson DJ, Ladwig PF, Chang YA, Martens RL, Ulfig RM, Kelly TF. 2004.Nanoscale characterization of magnetic multilayers with atom probe tomogra-phy. Microsc. Microanal. 10:518–19

89. Vovk V, Schmitz G, Kirchheim R. 2003. Three-dimensional atom probe inves-tigation of Co/Al thin film reaction. Microelect. Eng. 70:533–38

90. Vovk V, Schmitz G, Kirchheim R. 2004. Nucleation of product phase in reactivediffusion of Al/Co. Phys. Rev. B 69:104102

91. Pasichnyy MO, Schmitz G, Gusak AM, Vovk V. 2005. Application of the criticalgradient concept to the nucleation of the first-product phase in Co/Al thin films.Phys. Rev. B 72:014118

92. Vovk V, Schmitz G. 2005. Nucleation and growth during early stages of reactivediffusion. Defect Diffus. Forum 237/240:837–42

93. Keilonat D, Camus E, Wanderka N, Wollenberger H. 1994. Difussion innanolayers as measured by atom probing. Appl. Phys. Lett. 65:2007–8

94. Jeske T, Schmitz G, Kirchheim R. 1999. Atom probe field ion microscopyinvestigation of the early interreaction stages in Al/Ni couples. Mater. Sci. Eng.A 270:64–68

95. Jeske T, Schmitz G. 2001. Nanoscale analysis of the early interreaction stagesin Al/Ni. Scr. Mater. 45:555–60

www.annualreviews.org • Atom Probe Tomography of Electronic Materials 725

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 46: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

96. Jeske T, Schmitz G. 2002. Influence of the microstructure on the interreactionof Al/Ni investigated by tomographic atom probe. Mater. Sci. Eng. A 327:101–8

97. Jeske T, Seibt M, Schmitz G. 2003. Microstructural influence on the early statesof interreaction of Al/Ni-investigated by TAP and HREM. Mater. Sci. Eng. A353:105–11

98. Veiller L, Danoix F, Teillet J. 2000. Nanoscale compositional analysis of Fe/Tbmultilayers: a field ion microscopy and tomographic atom probe study. J. Appl.Phys. 87:1379–86

99. Ott RD, Kadolkar P, Blue CA, Thompson GB. 2004. Pulse thermal processing(PTP) of nanocrystalline silicon thin-films. J. Metals 56(10):45–47

100. Thompson GB, Banerjee R, Dregia SA, Miller MK, Fraser HL. 2004. A com-parison of pseudomorphic bcc phase stability in Zr/Nb and Ti/Nb thin filmmultilayers. J. Mater. Res. 19(3):707–15

101. Tamion A, Cadel E, Bordel C, Blavette D. 2005. Influence of the interface localchemistry on the magnetic anisotropy of (Fe.Dy) multilayers. J. Magn. Magn.Mater. 290/291:238–41

102. Tamion A, Cadel E, Bordel C, Blavette D. 2006. Three-dimensional atom probeinvestigation of Fe/Dy multilayers. Scr. Mater. 54:671–75

103. Schleiwies J, Schmitz G. 2002. Thin film interreaction of Al/Ag analyzed bytomographic atom probe. Mater. Sci. Eng. A 327:94–100

104. Baker AM, Cerezo A, Petford-Long AK. 1996. Interfacial diffusion studies inCO-Pd layered films. J. Magn. Magn. Mater. 156:83–84

105. Kuduz M, Schmitz G, Kirchheim R. 2004. Investigation of oxide tunnel barriersby atom probe tomography (TAP). Ultramicroscopy 101:197–205

106. Petford-Long AK, Larson DJ, Ma YQ, Cerezo A, Karr BW, Singleton EW.2005. The formation mechanism of aluminum oxide tunnel barriers: three-dimensional atom probe analysis. J. Appl. Phys. 98:124904

107. Cerezo A, Petford-Long AK, Larson DJ, Pinitsoontorn S, Singleton EW. 2006.The formation mechanism of aluminum oxide tunnel barriers. J. Mater. Sci.41:7843–52

108. Coufal J, Dhar L, Mee CD. 2006. Materials for magnetic data storage: theongoing quest for superior magnetic materials. MRS Bull. 31:374

109. Baibich MN, Broto JM, Fert A, Nguyen Van Dau F, Petroff F, et al. 1988. Giantmagnetoresistance of (001)Fe/(001)Cr magnetic superlattices. Phys. Rev. Lett.61:2472–75

110. Parkin SSP. 1995. Giant magnetoresistance in magnetic nanostructures. Annu.Rev. Mater. Res. 25:357–88

111. Moodera JS, Kinder LR, Wong TM, Meservey R. 1995. Large magnetoresis-tance at room temperature in ferromagnetic thin film tunnel junctions. Phys.Rev. Lett. 74:3273–76

112. Comstock RL. 2002. Modern magnetic materials in data storage. J. Mater. Sci.Mater. Electron. 13:509–23

113. Hellman OC, Vandenbroucke JA, Rusing J, Isheim D, Seidman DN. 2000.Analysis of three-dimensional atom-probe data by the proximity histogram.Microsc. Microanal. 6:437–44

726 Kelly et al.

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 47: Apt thomas kelly

ANRV315-MR37-21 ARI 31 May 2007 11:58

114. Miedema AR. 1978. Surface energies of solid metals. Z. Metallk. 69:287–92115. Skriver HI, Rosengaard NM. 1992. Surface energy and work function of ele-

mental metals. Phys. Rev. B 46:7157–68116. Rabson DA, Jonsson-Akerman BJ, Romero AH, Escudero R, Leighton C, et al.

2001. Pinholes may mimic tunneling. J. Appl. Phys. 89:2786117. Oliver B, He Q, Tang X, Nowak J. 2002. Dielectric breakdown in magnetic

tunnel junctions having an ultrathin barrier. J. Appl. Phys. 91:4348–52118. Oikawa T, Nakamura M, Uwazumi T, Muraoka H, Nakamura Y. 2002. Mi-

crostructure and magnetic properties of CoPtCr-SiO2 perpendicular recordingmedia. IEEE Transact. Magnet. 38:1976

119. Risner JD, Nolan TP, Bentley J, Wu SZ, Harkness SD, Sinclair R. 2005. High-resolution analytical TEM and energy-filtered imaging of CoPt-oxide perpen-dicular magnetic recording media. Microsc. Microanal. 11(Suppl. 2):1806–7

120. Larson DJ. 2006. Nanoscale characterization of materials for magnetic record-ing applications. Microsc. Microanal. 12:968–69

DISCLOSURE STATEMENT

Tom Kelly is Chairman and CTO of Imago Scientific Instruments Corporation. Hehas a financial stake in Imago.

www.annualreviews.org • Atom Probe Tomography of Electronic Materials 727

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 48: Apt thomas kelly

AR315-FM ARI 31 May 2007 15:12

Annual Review ofMaterials Research

Volume 37, 2007Contents

MATERIALS CHARACTERIZATION

Low-Temperature Degradation of Zirconia and Implications forBiomedical ImplantsJerome Chevalier, Laurent Gremillard, and Sylvain Deville � � � � � � � � � � � � � � � � � � � � � � � � � � � � �1

Single-Molecule Micromanipulation TechniquesK. C. Neuman, T. Lionnet, and J.-F. Allemand � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � 33

Spin-Polarized Scanning Tunneling Microscopy of MagneticStructures and Antiferromagnetic Thin FilmsWulf Wulfhekel and Jürgen Kirschner � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � 69

Microscale Characterization of Mechanical PropertiesK. J. Hemker and W. N. Sharpe, Jr. � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � 93

Three-Dimensional Atom-Probe Tomography: Advances andApplicationsDavid N. Seidman � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � �127

The Study of Nanovolumes of Amorphous Materials Using ElectronScatteringDavid J. H. Cockayne � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � �159

Nanoscale Electromechanics of Ferroelectric and Biological Systems:A New Dimension in Scanning Probe MicroscopySergei V. Kalinin, Brian J. Rodriguez, Stephen Jesse, Edgar Karapetian,

Boris Mirman, Eugene A. Eliseev, and Anna N. Morozovska � � � � � � � � � � � � � � � � � � � � � � �189

AFM and Acoustics: Fast, Quantitative Nanomechanical MappingBryan D. Huey � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � �351

Electron Holography: Applications to Materials QuestionsHannes Lichte, Petr Formanek, Andreas Lenk, Martin Linck,

Christopher Matzeck, Michael Lehmann, and Paul Simon � � � � � � � � � � � � � � � � � � � � � � � � � �539

Three-Dimensional Characterization of Microstructure by ElectronBack-Scatter DiffractionAnthony D. Rollett, S.-B. Lee, R. Campman, and G.S. Rohrer � � � � � � � � � � � � � � � � � � � � � � �627

vii

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.

Page 49: Apt thomas kelly

AR315-FM ARI 31 May 2007 15:12

Atom Probe Tomography of Electronic MaterialsThomas F. Kelly, David J. Larson, Keith Thompson, Roger L. Alvis,

Joseph H. Bunton, Jesse D. Olson, and Brian P. Gorman � � � � � � � � � � � � � � � � � � � � � � � � � � � �681

Electron Holography: Phase Imaging with Nanometer ResolutionMartha R. McCartney and David J. Smith � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � �729

FERROELECTRICS AND RELATED MATERIALS, David R. Clarkeand Venkatraman Gopalan, Guest Editors

Atomic-Level Simulation of Ferroelectricity in Oxides: Current Statusand OpportunitiesSimon R. Phillpot, Susan B. Sinnott, and Aravind Asthagiri � � � � � � � � � � � � � � � � � � � � � � � � �239

Ferroelectric Domain BreakdownMichel Molotskii, Yossi Rosenwaks, and Gil Rosenman � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � �271

Local Structure of Ferroelectric MaterialsT. Egami � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � �297

Terahertz PolaritonicsT. Feurer, Nikolay S. Stoyanov, David W. Ward, Joshua C. Vaughan,

Eric R. Statz, and Keith A. Nelson � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � �317

Spiral Magnets as MagnetoelectricsT. Kimura � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � �387

Universal Domain Wall Dynamics in Disordered Ferroic MaterialsW. Kleemann � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � �415

Defect–Domain Wall Interactions in Trigonal FerroelectricsVenkatraman Gopalan, Volkmar Dierolf, and David A. Scrymgeour � � � � � � � � � � � � � � � � �449

Influence of Electric Field and Mechanical Stresses on the Fracture ofFerroelectricsGerold A. Schneider � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � �491

Strain Tuning of Ferroelectric Thin FilmsDarrell G. Schlom, Long-Qing Chen, Chang-Beom Eom, Karin M. Rabe,

Stephen K. Streiffer, and Jean-Marc Triscone � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � �589

Ferroelectric Epitaxial Thin Films for Integrated OpticsBruce W. Wessels � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � �659

Index

Cumulative Index of Contributing Authors, Volumes 33–37 � � � � � � � � � � � � � � � � � � � � � � � �769

Errata

An online log of corrections to Annual Review of Materials Research chapters (if any,1997 to the present) may be found at http://matsci.annualreviews.org/errata.shtml

viii Contents

Ann

u. R

ev. M

ater

. Res

. 200

7.37

:681

-727

. Dow

nloa

ded

from

ww

w.a

nnua

lrev

iew

s.or

gby

Poh

ang

Uni

vers

ity o

f Sc

ienc

e an

d T

echn

olog

y (P

OST

EC

H)

on 0

5/20

/14.

For

per

sona

l use

onl

y.