40
An Angel Business Communications publication Volume 34 Issue II 2012 GaN Future EpiGaN’s power electronic opportunities Technology III-Vs and the silicon roadmap Process Lithography process control enhancements Measurement Choosing a source measurement unit instrument Moisture control Exponential growth in expectations Manufacturing Novel 3D integration process flow News review Market for power management Intel invests over $40m to push innovation Industry’s First Analogue 3-axis, High-g MEMS Accelerometer

00 Front Cover FINAL DRIssue 2 2012 3 editorialview Editor-in-Chief David Ridsdale [email protected] +44 (0)1923 690200 Consultant Editor

  • Upload
    others

  • View
    1

  • Download
    0

Embed Size (px)

Citation preview

Page 1: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

An Angel Business Communications publication Volume 34 Issue II 2012

GaN Future EpiGaN’s power electronic opportunities

TechnologyIII-Vs and the silicon roadmap

ProcessLithography process controlenhancements

MeasurementChoosing a sourcemeasurement unit instrument

Moisture controlExponential growthin expectations

ManufacturingNovel 3Dintegration process flow

News reviewMarket for powermanagement

Intel invests over$40m to pushinnovation

Industry’s FirstAnalogue 3-axis,High-g MEMSAccelerometer

00 Front Cover FINAL DR.qxp 1/6/12 10:38 Page 1

Page 2: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

Contact Watlow® today for the latest thermal

solutions for semiconductor applications.

Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal

solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.

Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal

solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.

Optimize the Thermal Performance of your

Process Equipment.

Watlow provides innovative

solutions to help process tool

manufacturers meet the needs of the

semiconductor technology roadmap.

Our approach is to work collaboratively

to help solve complex thermal

challenges associated with state-of-the-

art manufacturing processes; helping

to improve yield, throughput and cost

of ownership. This includes innovative

heaters, controllers and sensors for use

in front-end and back-end applications

such as CVD, PECVD, Etch, Diffusion,

Bonding, IC test and more.

Extensive computational tools enable product designs to be highly refined for ultimate performance.

Multi-zone circuit layouts in a polyimide construction are highly customized to deliver exacting performance up to 250°C.

EZ-ZONE® RM Multi-loop controllers are fully scalable with up to 152 PID loops and 256 monitor points per system.

ASSURANT™ gas and pump line heaters feature high temperature capabilities with low outgassing.

Watlow Ltd.Robey CloseLinby Industrial EstateLinby, Nottingham, NG 15 8AAUnited Kingdom+44 (0) 115 964 [email protected]

Watlow GmbHLauchwasenstr.176709 KronauGermany+49 (0) 7253 9400 [email protected]

Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your

Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.

Extensive computational tools enable product designs to be highly refined for ultimate performance.

Page 3: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

Issue 2 2012 www.siliconsemiconductor.net 3

editorialview

Editor-in-ChiefDavid Ridsdale [email protected] +44 (0)1923 690200

Consultant EditorRichard Stevenson PhD

[email protected] +44 (0)1291 629640

News EditorDr. Su Westwater [email protected]

Director of SOLAR & IC PublishingJackie Cannon [email protected] +44 (0)1923 690205

Account ManagersRobin Halder [email protected]+44 (0)2476 718109

Shehzad Munshi [email protected]+44 (0)1923 690215

USA RepresentativesBrun MediaTom Brun E: [email protected]: 724 539-2404

Janice Jenkins E: [email protected]: 724-929-3550

Director of Logistics Sharon Cowley [email protected]+44 (0)1923 690200

Design & Production Manager Mitchell Gaynor [email protected] +44 (0)1923 690214

Circulation DirectorJan Smoothy [email protected] +44 (0)1923 690200

Subscriptions ManagerDebbie Higham [email protected]+44 (0)1923 690220

Chief Operating OfficerStephen Whitehurst [email protected]+44 (0)2476 718970

Directors Bill Dunlop Uprichard – CEOStephen Whitehurst – COOJan Smoothy – CFOJackie Cannon, Scott Adams,Sharon Cowley, Sukhi Bhadal

Published byAngel Business Communications Ltd,Hannay House, 39 Clarendon Road,Watford, Herts WD17 1JA, UKT: +44 (0)1923 690200F: +44 (0)1923 690201

Angel Business Communications LtdUnit 6, Bow Court, Fletchworth Gate,Burnsall Road, Coventry CV5 6SPT: +44 (0)2476 718 970F: +44 (0)2476 718 971

Silicon Semiconductor is published eight times a year on acontrolled circulation basis. Non-qualifying individuals cansubscribe at: £105.00/€158 pa (UK & Europe), £138.00 pa (air mail), $198 pa (USA). Cover price £4.50. All informationherein is believed to be correct at time of going to press.The publisher does not accept responsibility for any errors and omissions. The views expressed in this publicationare not necessarily those of the publisher. Every effort hasbeen made to obtain copyright permission for the material contained in this publication.

Angel Business Communications Ltd will be happy toacknowledge any copyright oversights in a subsequent issueof the publication. Angel Business Communications Ltd © Copyright 2012. All rights reserved. Contents may not bereproduced in whole or part without the written consent ofthe publishers. The paper used within this magazine isproduced by chain of custody certified manufacturers,guaranteeing sustainable sourcing.

US mailing information: Silicon Semiconductor (ISSN 1096-598X) is published 8 times a year Jan/Feb, March,April/May, June, July, August/September, October,November/December for a subscription of $198 by Angel Business Communications Ltd, Hannay House,39 Clarendon Road, Watford, Herts WD17 1JA, UK.Periodicals postage paid at Rahway, NJ. POSTMASTER: sendaddress changes to: Silicon Semiconductor,c/o Mercury International Ltd, 365 Blair Road, Avenel, NJ 07001

Printed by: Pensord Press. © Copyright 2012.ISSN 1096-598X (Print)ISSN 2042-7328 (Online)

Volume 34 Issue 2 2012

CONNECTING THE SILICON SEMICONDUCTOR COMMUNITY

EuroAsia Semiconductor isnow Silicon Semiconductor- a natural evolution

It is with great pleasure that the publishers of EuroAsia Semiconductor announce an evolutionin our stable of technology titles. EuroAsia Semiconductor is now Silicon Semiconductor and

will make an even greater global impact than ever before.

The semiconductor value chain has gone through enormous change over the last decade asconsolidation in a maturing market leaves the global industry with less players and feweroptions, as it strives to maintain the scaling innovations of the last half a century.Semiconductors continue to be the major engine for the modern technological world and thenext few years will see industry challenges that will redefine the future of technology. Criticshave warned semiconductor manufacturers that they are reaching the physical limitations ofmanufacturing and the industry now faces technical challenges moving beyond 32nmmanufacturing. To compound the looming lithographic limits, the wheels have been set inmotion to bring in 450mm wafers to maintain the scaling desires of manufacturers. After a fewsteady years the top players appear to be entering a new phase of competition which ismanifesting in a positive increase in expenditure for research, development and manufacturing.

Silicon Semiconductor will be the new name for our title reflecting the simple reality of theinnovative engineering and manufacturing that defines this global industry. The semiconductorsector is tipped for strong growth over the next few years and Silicon Semiconductor will be thekey information portal for the world’s silicon semiconductor community. The new title also bringstogether our stable of titles, with our sister publication Compound Semiconductor coveringmanufacturing on compound material substrates.

Silicon Semiconductor will follow the manufacturing trends, industry opportunities and marketannouncements to provide the decision making information our reader’s need to do a difficultjob in a challenging industry. Silicon Semiconductor will provide exclusive content on themanufacturing issues affecting the industry wherever in the world it may be. With so manyindustry challenges on the horizon it is more important than ever to gain a worldwideperspective. Silicon Semiconductor will be a catalyst for a truly global community.

The changing nature of the semiconductor industry has seen geographical changes in the waythe global industry is represented both in manufacturing and market place. The current trendsees a great deal of activity continue to migrate to the Asia Pacific region creating newchallenges for industry players but no continent stands alone in a global community. On thecontrary, some of the strongest innovation continues to emanate from Europe and NorthAmerica. The semiconductor industry of today may have changed drastically but it is still agrowing industry with 2011 seeing tool and materials suppliers reach the 300 billion dollar mark.The industry still continues to grow at a double figure average and the volatility is bettermanaged by fewer players.

All these changes impact the entire value chain and that includes information providers in thesector. Silicon Semiconductor intends to be the key communication platform for the industrymoving towards a new future.

David RidsdaleEditor-in-Chief

03 Comment Final DR.qxp 1/6/12 10:38 Page 3

Page 4: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

Dedicated exclusively to compound semiconductor, silicon semiconductor and solar recruitment

CSS-Jobs.netE: [email protected]: www.css-jobs.net

SiliconSemiconductor

CompoundSemiconductor

Solar

CSS Jobs Vertical ad.indd 1 21/11/2011 12:25

Page 5: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

Issue 2 2012 www.siliconsemiconductor.net 5

Volume 34 Issue 2 2012

CONNECTING THE SILICON SEMICONDUCTOR COMMUNITY contents

10 Cover StoryEpiGaN was only spun out of imec two years ago and has already become a champion for GaN based power electronics developing techniques that could challenge current fears related to compound semiconductors

14 III-Vs and the silicon roadmapSilicon foundries could switch production from silicon MOSFETs to III-Vs and germanium by theend of this decade. Making this transition is far from trivial, but progress is being made.

18 Lithography process control enhancements using advanced light source metrology As ArF immersion lithography is extended with multi-patterning techniques, improved process control is required to ensure stable and repeatable performance.

22 Source measurement unit instrument A source measurement unit (SMU) instrument integrates the capabilities of a precision power supply (PPS) with those of a high-performance digital multimeter (DMM) in a single instrument.

32 Orders of magnitude - Addressing the semiconductor industry’s exponential needsPeter Berg, Bernt Meßtechnik GmbH and Fred Conroy, Tiger Optics LLC review the progress made in the last 10 years.

38 Novel 3D integration process flow: backside ‘soft’ via revealImec has been working on a via-middle through-Si-via (TSV) approach to 3D stacking.This method is new to industry as it allows for a ‘reveal’ of TSV contacts by using a Si-etch process.

industry & technology

news

10

3214

06

00 09

07

06 Market for power management semiconductor chips rebounds

06 Jury award $123 million against Mitsubishi

07 Intel invests over $40 million inuniversities to push semiconductor Innovation

08 Apple & Samsung standalone

08 CMOS based process revealed

09 Industry's first analogue 3-axis,high-g MEMS accelerometer

09 Renesas electronics and TSMC collaborate

04 Contents Final DR.qxp 1/6/12 10:40 Page 5

Page 6: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

6 www.siliconsemiconductor.net Issue 2 2012

news � review

Bellwether market for power managementsemiconductor chips rebounds AFTER a drastic decline in the lastthree months of 2011, the market forpower management semiconductorsrecovered somewhat at the beginningof 2012. The last quarter has seeneven better growth, driven primarily byan expansion in the consumer andindustrial sectors.

According to the “IHS iSuppli PowerManagement Tracker” report, revenuefor power managementsemiconductors will reach $8.0 billionin the second quarter, up 6.7 % from $7.5billion in the first quarter.

IHS believes this increase is the firstpalpable sign of growth since industryrevenue plunged sharply at the end of lastyear. The market had enjoyed sevenstraight quarters of growth until the fourthquarter of 2011, when sequential revenueplummeted by a sizable 10.7 %, as shownin the figure below.

However, the market has been on themend since then, posting flat revenue atthe start of the year but not decliningfurther. The projected increase for thesecond quarter is also expected tocontinue in the second half of this year.IHS says total power managementsemiconductor revenue for 2012 is

expected to reach $32.8 billion, up 2.8 %from $31.9 billion last year. And althoughconditions this year will be weakercompared to the strong growth of 2009and 2010, at least no losses are projectedon a yearly basis in 2012. “Powermanagement semiconductors areemployed in a broad range of products,with devices ranging from computers, tocell phones, to energy systems allrequiring management of their electricalsupplies,” says Marijana Vukicevic, seniorprincipal analyst for power management atIHS.

“The rising emphasis on portableelectronic devices, including the boomingsales of media tablets and smartphones, ishighlighting the importance of powermanagement semiconductors, which are

essential for achieving the heatdissipation, weight and sizerequirements for such products.”IHS notes that due to seasonalityvariations, the power managementmarket usually experiences a declinein the fourth quarter of each year.However, the scale of the contractionin the fourth quarter last year was aserious indication of an especiallydepressed market for thesesemiconductors.

Several conditions had conspired to bringabout the decline. Including, the disruptionto manufacturing after the Japanearthquake-tsunami disaster in March andthen the heavy floods in Thailand duringOctober. A worldwide slowdown inconsumer spending and a pullback inmany government-run and supportedprograms also made matters worse. So,by the end of last year, a decline in growthhad been experienced by almost all powermanagement semiconductor markets.

Now though, growth is expected tocontinue in another area where powermanagement semiconductors have beenstrong. This is in the industrial electronicsand alternative energy (covering wind,solar and geothermal applications)markets.

Jury award $123 million against Mitsubishi A SILICON VALLEY jury has foundMitsubishi Electric & Electronics USA, Inc.guilty of violating an April 2001 non-disclosure agreement with a smalltechnology company, GrailSemiconductor, Inc. The case involvedpioneering technology for a uniquememory chip design sold by Mitsubishi’ssister company Renesas ElectronicsCorporation of Tokyo, Japan.

After a three week trial and 4 days ofdeliberation, the 12 person jury found thatMitsubishi had illegally used and disclosedGrail’s confidential technical informationfor an inductive-capacitive memory chip toits affiliate Mitsubishi-Japan and to thejointly-owned Japanese company,Renesas, awarding $123,898,889 indamages to Grail. Renesas is one of the

world’s largest manufacturers of memorychips with annual sales topping $8 billion.

The two Renesas products at issue in thecase are its SUPER SRAM (also known asAdvanced Low Power SRAM) and it’sembedded MONOS-FLASHmicrocontroller units (MCU’s). Annual

sales of the two product families exceed$4 billion.

“This is a great victory for the little guyagainst companies like Mitsubishi andRenesas, who, in this case, decided tosimply take technology rather than pay forit” said Raymond P. Niro of Niro, Haller &Niro, lead trial counsel in the case.

Attorney’s fees and prejudgment interestcould be added to the $123,898,889judgment. “We feel vindicated” said RonHofer, CEO of Grail: “the real impact of thiscase could be a possible injunctionagainst the Mitsubishi companies andRenesas prohibiting use of ourtechnology.” Grail also has a separate suitagainst Renesas for patent infringementpending in Federal Court in San Francisco.

06 News SS vFinal DR.qxp 1/6/12 10:41 Page 6

Page 7: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

Issue 2 2012 www.siliconsemiconductor.net 7

news � review

Large assemblyand test facilityselect Rudolphto meetdemandRudolph Technologies, Inc., hasannounced that a large OSAT(outsourced semiconductor assemblyand test) company has placed ordersfor 14 NSX Series 320 InspectionSystems. The NSX Systems, scheduledfor Q2 2012 installation, will be used forinspection in multiple steps duringwafer-level chip-scale packaging(WLCSP) processes.

Nathan Little, vice president andgeneral manager of Rudolph’sInspection Business, stated, “Asconfirmed by our strong order book forthis new tool, packaging and testhouses want to take advantage of thelatest-generation inspection equipmentto maximize throughput andproductivity.

The NSX320 System performs defectinspection, 2D bump metrology andacquires on-the-fly defect images formaximum productivity and flexibility. Inaddition, WLCSP requires flexibility forhandling substrates in a variety offormats while collecting detailed defectand 2D metrology information duringthe inspection process; the NSX320System incorporates whole wafer andfilm frame handling solutions to addressthis requirement.”

The NSX320 System was selected aftera competitive evaluation for its highspeed and efficient, easy-to-useoperating procedures, which deliveredthe highest productivity and lowestcost-of-ownership of all the toolsconsidered.

“This order is evidence of the leadingposition the NSX320 Inspection Systemhas established in the rapidly growingmarket for back-end inspection,” Littleadded. “Rudolph’s R&D investments intechnology-leading products and thehistory we have with our back-endcustomers give us the ability to respondto the changing requirements in thisimportant market segment.”

Intel invests over $40m topush innovationINTEL is to invest morethan $40 million over thenext five years in aworldwide network ofuniversity researchcommunities known asIntel Collaborative ResearchInstitutes” (ICRI).

The ICRI program is basedon the U.S.-based IntelScience and TechnologyCentres (ISTCs), and willbring together experts fromacademia and industry to help explore andinvent in the next generation ofsemiconductor technologies.

“The new Intel Collaborative ResearchInstitute program underscores ourcommitment to establishing and fundingcollaborative university research to fuelglobal innovation in key areas and helpaddress some of today’s most challengingproblems,” says Justin Rattner, chieftechnology officer at Intel.

“Forming a multidisciplinary community ofIntel, faculty and graduate studentresearchers from around the world willlead to fundamental breakthroughs insome of the most difficult and vexing areasof computing technology,” he adds.

The three ICRIs will collaborate with theirown multi-university communities andother ICRIs, as well as the U.S.-basedISTCs.

Two previously established centres arebeing incorporated into the ICRI program.These are the Intel Visual ComputingInstitute (Saarland University) and the Intel-NTU Connected Context ComputingCentre (National Taiwan University).

Each institute will specialise in a particulararea and use its research to focus on theunique environments within its region,country and area of research.

The three new ICRIs include the ICRI forSustainable Connected Cities based in theUnited Kingdom. This joint collaborationbetween Intel, Imperial College Londonand University College London aims toaddress challenging social, economic and

environmental problems of city life withcomputing technology. Using London as atest bed, the scientists will exploretechnologies to make cities more awareand adaptive by harnessing real-time userand city infrastructure data.

Through a city urban cloud platform, thecity managers could perform real-time cityoptimisations such as predicting theeffects of extreme weather events on thecity’s water and energy supplies. This isexpected to result in the delivery of near-real-time information to citizens throughcitywide displays and mobile applications.

One of the other collaborators is the ICRIfor Secure Computing, Germany. At thisInstitute, Intel and the TechnischeUniversität Darmstadt will explore ways toadvance the trustworthiness of mobile andembedded devices and ecosystems.

In the development of secure, car-to-device communications for added driversafety, using new approaches to securemobile commerce, and a betterunderstanding of privacy and its variousimplementations. By grounding theresearch in the needs of future users, theinstitute will then research software andhardware to enable robust systems suitedto these applications.

The final institute, the ICRI forComputational Intelligence is based inIsrael. It is a partnership between theTechnion-Israel Institute of Technology inHaifa and the Hebrew University inJerusalem. The ICRI will explore ways toenable computing systems to augmenthuman capabilities in a wide array ofcomplex tasks.

06 News SS vFinal DR.qxp 1/6/12 10:41 Page 7

Page 8: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

8 www.siliconsemiconductor.net Issue 2 2012

news � review

Apple & Samsung Standalone THE good news is that the smartphonemarket grew by a decent 44.4% year overyear in the last quarter, according tomarket research company ForwardConcepts.

On the down side, the firm’s annualmarket research report, “Cellular Handset& Tablet Chip Markets ‘12,” says thatsmartphone Q1 2012 shipments alsodeclined to only 6.9% over the previousquarter.

Global sales of mobile phones (budget,midrange, feature and smartphones) toend users reached 379 million units in thefirst quarter of 2012, a 9% decline from thefirst quarter of 2011.

High smartphone demand continued todrive mobile device market growth,reaching 139 million units in the firstquarter of 2012, a hefty 37% of the globalcell phone market.

And Apple and Samsung, raised theircombined share in the smartphone marketto 45.7%, up from 30% in the first quarterof 2011, widening their lead over Nokia ,which saw its smartphone market sharedrop to a mere 8.6%.

In the first quarter of 2012, Apple iPADsachieved a 59.3% share of themedia tablet market, includingthe sub-$199 Amazon Kindleand Barnes & Noble Nook.

Also, Apple’s multimode LTE“iPAD3” has enabled thecompany to offer the iPAD2 atlower prices, causing a declinein Android tablet shipments.

E-reader manufacturersshipped only 1.4 million unitsin the first quarter of 2012, down from 4.2million units shipped in the final quarter of2011.

According to the principal author of thereport, Carter L. Horney, “Global sales ofmobile devices declined in Q1 more thanexpected due to a slowdown in demandfrom the emerging regions. All vendorswere impacted at different levels; however,Chinese white-box vendors suffered themost with bloated inventories.”Among the top 10 cellphone vendors,Nokia, Samsung and Apple topped 2011unit shipments while Chinese suppliersHuawei, ZTE and TCL also moved into thetop ten.

In terms of revenue, Apple led overSamsung and Nokia in the smartphonemarket. But Samsung beat Nokia in overallcell phone unit shipments in Q1/2012, withApple taking 3rd place.

Although Baseband chips of several typesconstitute the largest non-memory cellphone chip market at $15.9 billion for2011, there are other multi-billion-dollarcell phone chip markets. These include$5.5 billion for power management units,$3.7 billion for RF transceivers, $3.6 billionfor RF power amplifiers, $2.9 billion forimage sensors, $2.8 billion for standaloneapplication processors, and $2.7 billion fortouch-screen controllers.

CMOS based process revealed

X-FAB SILICON FOUNDRIES has unveiledthe XU035, a new CMOS-based processfor ultra-high-voltage (UHV) consumerapplications. These include AC LEDlighting, chargers with no-load powerconsumption and other power conversionand control applications.

The new XU035 process provides lowspecific On-resistance for 700V powerdevices and is claimed to enable the mostcost-effective solutions for consumerapplications.

X-FAB says its cost-competitive processarchitecture and comprehensive designsupport enables first-time-right designs,enabling fast time to market. The newXU035 process includes multiple cost-effective features such as a single polyand single 5V gate oxide architecture, 8-inch bulk starting material, optional thirdrouting and power metals and optionalMIM capacitors. It also includes adepletion transistor and a high-resistivepoly module. X-FAB says that dependingon the process module combinationchosen , the total mask count range of 13to 18 is the foundry industry’s lowest.

Besides the 700V N&PMOS, DMOS anddepletion transistors with RDSON as lowas 15Ω mm2 and 20V and 40V deviceswith floating high-side capabilities, XU035process users can select from a widespectrum of analogue passive devices .These include UHV resistors, MOS andMIM capacitors and protection diodes The

XU035 platform comes withcomprehensive X-FAB design supportincluding PDKs for all major designenvironments, precise Spectre and H-Spice models, digital libraries and 2kVESD support. Commenting on the XU035process for mass-market applications, X-FAB’s Technical Marketing Manager VolkerHerbig says, “Government regulation andincreasing energy pricing mean thatenergy conservation is becoming animportant aspect in the daily lives ofpeople around the world.”

“Our new XU035 process enables ourcustomers to compete effectively inenergy-efficiency applications like LEDlighting and phone chargers that requireultra-low standby power. It provides themost cost-effective process for targetingthe vast high-volume consumer space,where price and time to market areprimary considerations.”

The XU035 process will be available fortape-ins of prototypes and risk productionin June 2012.

06 News SS vFinal DR.qxp 1/6/12 10:41 Page 8

Page 9: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

Issue 2 2012 www.siliconsemiconductor.net 9

news � review

Industry’s First Analogue 3-axis, High-gMEMS AccelerometerAnalog Devices, Inc. (ADI) has revealedwhat it claims is the industry’s firstcommercially available analogue, 3-axis,high-g MEMS accelerometer.

The ADXL377 measures acceleration ofhigh-impact events resulting from shockand vibration, within a range of ± 200 gwith no signal saturation.

This measurement range, combined withan analogue output that continuouslycaptures impact data, makes the ADXL377a good sensor for contact sports wherethe detection of concussive forces canreveal indictors of Traumatic Brain Injury(TBI).

With a bandwidth of 1600Hz, the ADXL377is also suited for use in industrialequipment where shock levels must beclosely monitored. The accelerometer alsoeliminates the need for alignment and theplacement of orthogonal sensors, whichsignificantly simplifies design. ADI says theboard space required is reduced by up to

five times compared to typical solutionsrequiring multiple, single-axisaccelerometers. The ADXL377 has alsobeen designed for incorporation into theIZOD 2012 INDYCAR Series driver impactsafety system. INDYCAR worked in closelywith Analog Devices at the ADXL377product definition phase.

The resulting device allowed INDYCAR toupgrade the sensors located in itscommunications earpieces, which areused to measure driver impacts triggeredby collisions during practice, time trialsand during races, according to JeffHorton, director of engineering forINDYCAR.

“The new Analog Devices ADXL377 3-axisaccelerometer is going to be a greataddition to our ear sensor program,” saysHorton.

“Not only will the smaller size greatlyreduce the manufacturing time needed toplace the components into the custom ear

moulds that we make for each of thedrivers, it also will allow us to place theaccelerometer closer to the ear canalopening which should help with thecoupling of the accelerometer to thedriver’s head for a more accurate reading.In the past we had to use three separateICs in each ear to obtain the same amountof data.”

“With TBI now a serious medical concernin many facets of life, from athletes andworkers to military personnel, ADI ishelping customers design smaller, moreaccurate and simpler impact systems,”adds Mark Martin, vice president andgeneral manager, MEMS/Sensors group,Analog Devices.

“Because so many of these applicationsrequire extreme mobility, the ability toeliminate orthogonal sensors whilesimultaneously lowering energyconsumption means that these battery-operated devices can run longer betweencharges.”

Renesas electronics and TSMC collaborateRENESAS ELECTRONICS CORPORATIONand TSMC have announced that they havesigned an agreement to extend theirmicrocontroller (MCU) technologycollaboration to 40 nanometer (nm)embedded flash (eFlash) processtechnology for manufacturing MCUproducts used in next-generationautomotive and consumer applicationssuch as home appliances.

Renesas previously agreed to outsourceMCUs to TSMC using 90nm eFlashprocess technology. Under the 40nm MCUcollaboration, Renesas will outsource MCUproduction at 40nm and futuretechnologies.

Renesas and TSMC will collaborate to leadin advanced technologies for MCUplatform and production by combiningRenesas’ MONOS (Metal-Oxide-Nitride-Oxide-Silicon) technology supporting bothhigh reliability and high speed, and high-quality technical support with TSMC’sadvanced CMOS process technologiesand flexible production capacity.

Furthermore, by making the MONOSprocess platform available to othersemiconductor suppliers around the world(including fabless companies and IDMs),Renesas and TSMC aim to set up anecosystem and further widen the customerbase.

“In order for us to achieve further globalgrowth, we are confident that TSMC willprovide us with significant benefits inaccelerated time-to-volume production andmaximum flexibility in addressing thevolatile fluctuation of the market demand,”said Shinichi Iwamoto, Senior Vice

President of Renesas ElectronicsCorporation. “Based on what we havelearned from the Great East JapanEarthquake last year, which brought majorimpacts to several of our manufacturingsites and our customers businesses, wehave been accelerating the construction of the “fab network” as part of thecompany’s business continuity plan (BCP).By integrating both companies’ world-leading technologies through thiscollaboration, we will construct a supply structure which secures consistentsupply for our customers and also drive the market as a leading MCUsupplier aiming to set up an ecosystem forMCUs.”

“Renesas is one of the leaders in the MCU market and the collaboration willhelp deliver the performance Renesasneeds for new production introduction with the level of quality and reliability itscustomers have come to expect,” said Jason Chen, Senior Vice President of Worldwide Sales and Marketing atTSMC.

06 News SS vFinal DR.qxp 1/6/12 10:41 Page 9

Page 10: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

10 www.siliconsemiconductor.net Issue 2 2012

cover story � epigan

EpiGaN Creating the FutureCompound semiconductors have long been touted as a disruptive force totraditional semiconductor manufacturing but have often ended up with nichemarkets as superior speeds have not been matched with cost differences. The lastdecade has seen a surge in compound semiconductor potential and here weprofile a new company that quickly developed a reputation for power electronicsbased on Gallium Nitride (GaN) and become an integral part of Europeanresearch efforts.

Founded in 2010 as a spin-off from Belgianresearch centre Imec, EpiGaN has become

a key player in GaN-on-Si semiconductors. Thanksto its wide bandgap, combined with otherperformance features, GaN shows superiorefficiency at high voltages. This is paving the wayfor replacing conventional silicon powersemiconductors by GaN HEMTs (high-electronmobility transistor) Such GaN devices will enablemore efficient power converters, power supplies,

motor drives, solar inverters and transport systems– all with a smaller environmental footprint. Just last month, on May 23, EpiGaN officiallyopened its new volume production facility at theResearch Campus Hasselt (RCH) in Belgium,located in the busy European high-tech triangleEindhoven-Leuven-Aachen. This campus offers theframework for cleanroom facilities as required forthe production of GaN-on-Si.

10 Epigan Final DR.qxp 1/6/12 11:31 Page 10

Page 11: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

Issue 2 2012 www.siliconsemiconductor.net 11

cover story � epigan

EpiGaN is currently offering state-of-the-art GaNepitaxial layers deposited crack-free, on Si up to150 mm or, for specific applications, on SiC. Waferdiameters of 200mm are under development. Theavailability of large wafers to be processed inexisting Si CMOS fabs explains how GaN-on-silicontechnology excels at combining affordability withgreat performance.

The Promise of GaNWith its superior properties, GaN promises to be asuitable material for power switching devicesoperating at significantly higher frequencies withoutsuffering from major losses. This is due to thedrastically lower on-state resistance of GaN powertransistors, combined with considerably reducedin/output capacitances. The higher switchingfrequency substantially reduces the volume ofaccompanying passive components such asinductors, current transformers and capacitors.

Thus, in the future, the volume of power systemswill be smaller and they will be more lightweight. Inthe long run, GaN power electronics will combinethese significantly improved operational propertieswith lower costs. The efficiency of present systemsis largely limited by the active components used.

To accelerate the progress on this future-oriented,energy-saving power technology, the EU hasestablished a three-year research project, called“HiPoSwitch”. EpiGaN is substantially participatingin the effort.

HiPoSwitch has a total budget of 5.6 million euros.To this, the EU is contributing about 3.6 millionEuros. Eight European program partners arecovering the complete value chain, from academicresearch and development (Ferdinand-Braun-Institute, Leibniz-Institute fuerHoechstfrequenztechnik, Slovak Academy ofSciences, Vienna University of Technology;University of Padua, to industrial application(AIXTRON SE, Artesyn Austria, EpiGaN, andInfineon Technologies Austria. The objective is tomake GaN power transistors and 200mm GaN-on-silicon substrates commercially available andmarketable world-wide. HiPoSwitch is coordinatedby the Berlin-based Ferdinand-Braun-Institute.

The European Space Agency (ESA) has alsoinvested significant levels of funding in establishinga European value chain of space worthy GaN-devices, in particular within the GREAT2 project.Today, they also support EpiGaN in theestablishment of a European GaN material sourcethrough a three years contract, aiming at materialproduction both either RF or High Voltageapplications for space suppliers.

All these efforts cater to the promise that transistorsbased on GaN-on-silicon could grab a major shareof the power device market. Converting theirpotential into success hinges on scaling productionto the handling large wafer sizes and employingappropriate passivation techniques - according toEpiGaN founders Marianne Germain, Joff Derluynand Stefan Degroote.

There is a tremendous opportunity, the EpiGanfounders say, for realising substantial reductions inenergy losses associated with AC/DC and DC/DCconversion. If a new generation of electronicdevices can combine higher power levels with lowerswitching losses at higher operating frequencies,they will boost the efficiency of power systems,while trimming their size and weight.

EpiGaN: Making NitridesAffordableAs wide band gap semiconductors GaN-on-silicondevices belong to a superior class of materials: Oneof their biggest advantages is their high breakdownvoltage, which stems from a field strength that is anorder of magnitude higher than that of silicon. Dueto the high carrier mobility and concentrationassociated with the two-dimensional electron gas(2DEG) of the AIGaN/GaN heterostructure, nitridedevices in switching applications also combine alow on-resistance with high switching speed. Theirwide band gap properties enable them to operate athigh temperatures.

Development of nitride power devices has beenunderway for more than a decade, and theirprogress has enabled today’s switching devices tooutperform their silicon rivals. In the performancestakes at very high voltages (>1200V), SiC is atougher opponent, but GaN more than holds itsown. GaN-on-silicon is the most cost-efficient wide-band-gap technology. It has developed to a pointwhere it is feasible to deposit advanced

Fig 1: 150 mm and 4-inch GaN-on-siliconepiwafers

The European

Space Agency

(ESA) has also

invested

significant

levels of

funding in

establishing a

European

value chain of

space worthy

GaN-devices,

in particular

within the

GREAT2

project

10 Epigan Final DR.qxp 1/6/12 10:43 Page 11

Page 12: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

12 www.siliconsemiconductor.net Issue 2 2012

cover story � epigan

heterostructures on silicon substrates up to 150mmin diameter. In the near future this growth processwill be extended to 200mm silicon. There is also anopportunity to develop process compatibility withstandard CMOS technology. This would open thedoor to further cost reduction by enabling thesewafers to be put through lines at 200mm silicon labsoperating around the globe.

No wonder that GaN power electronics technologyis lately attracting increasing interest. But no one isyet to deliver the real commercial breakthrough - areliable device operating at 600V. One of thechallenges is to establish a compoundsemiconductor technology in a field where silicondominates, and many potential users have beenscarred by the experience of SiC. Although theperformance of SiC diodes is attractive for powerconverter manufacturers, they are too pricey. Inaddition, until recently these diodes could not bepaired with SiC transistors - which is detrimental tothe uptake of this first-on-the-market wide band gapsolution.

Another reason behind the lack of a commerciallyattractive and reliable 600V and above device is thatit is tough to manufacture GaN-on-silicon epitaxialstructures, which are the starting point for makingpower electronics.

This is the challenge that EpiGaN has set out tomaster. The company was formed as a spin-off fromthe large international nano-electronics researchcentre located in Leuven, Belgium. EpiGaN is builton its founders’ expertise developed at Imec, wherethey were involved in GaN research since 2001.Some of their key successes include the world’sfirst low-sheet-resistivity, 150 mm HEMT structuresin 2006, and the first GaN-on-silicon 200 mm epi-

wafers, a feat achieved in partnership with theMOCVD toolmaker Aixtron.

EpiGaN’s approach differs from that of several otherplayers, which employ SiC as the substrate for theirnitride devices. EpiGaN focuses on GaN-on-silicon,due to its cost advantage. Initially, the companydeveloped material for RF devices (such as epi-wafers for RF applications). However, given thestrengths of GaN-on-silicon for power electronics, itwas obvious to switch target the potentially lucrativepower semiconductor market.

The commercial prospects of GaN on silicon haveattracted a strong investor group, among themRobert Bosch Venture Capital, Capricorn Cleantechfund and LRM. These investments have been usedto set-up the plant for producing GaN epi-wafers byMOCVD, which was started up in May 2012.

Taking out the StrainEpiGaN’s epitaxial growth process tackles the gridstrain that arises when GaN is deposited on silicon.The two materials show different crystallineproperties and thermal expansion coefficients. Leftunchecked, this can lead to unchecked strain in theepi-layer and substrate that can ultimately cause thewafer to bow and even crack.

Carefully managing this strain yields wafers suitablefor passing through regular silicon processing lines.EpiGaN now manufactures 150mm epi-wafers witha bow well below 50µm - typically 20 to 30µm,depending on wafer specs. Uniformity, in terms ofstandard deviation of either layer thickness orelectrical characteristic, is typically better than 3percent.

Stress engineering certainly is a challenging aspectof forming GaN-on-Si. An even more challengingissue is passivation of surface states. As apiezoelectric material GaN has an excellent high-electron concentration associated with high electronmobility - obtained without extra doping.

But there is a significant price to pay: an extremesensitivity governing device characteristics, such ascurrent density and threshold voltage on the fillingof those surface states, which have a densitycomparable to that found in the channel. Ifpassivation is poor, the device’s dynamic behavioursuffers. To combat this so-called dispersionproblem, devices must be processed in a carefullycontrolled manner using high-quality epi-wafers,because this leads to optimized buffers andcontrolled surface states.

Uncontrolled charging or discharging of theseFig 2: SiN/AlN/GaNheterostructure

10 Epigan Final DR.qxp 1/6/12 10:43 Page 12

Page 13: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

Issue 2 2012 www.siliconsemiconductor.net 13

cover story � epigan

surface states - which can be modified duringprocessing and device operation - can severelydegrade the dynamic properties of the device. Toprevent this EpiGan deposits a unique in-situ SiNcapping layer, which is grown by MOCVD as part ofthe epitaxy process on top of HEMT epi-wafers. Theinterface between this capping layer and the topnitride surface is incredibly smooth, and it enablesperfect passivation of surface states (Figure 1).

The capping layer can properly control the filling ofthe surface states during device operation. It isbelieved that SiN can provide enough charge toneutralize the surface charge of the AIGaN barrierlayer so that its surface potential no longercontributes to 2DEG depletion. In addition, the SiNlayer aids device stability at elevated temperatures.

The in-situ deposited SiN films can also lead todrastic reduction of the channel resistance, Thisenables adjusting the top part of the FET so that itcan meet particular device specifications. GaN FETsare lateral devices, and optimizing theirperformance demands a trimming of conductionlosses. This means that, for switching applications,aluminium-rich barriers are preferred in a typicalAIGaN/GaN structure, because it yields a higherpiezoelectric field, higher current density and lowerspecific on-resistance.

One of the major benefits of the SiN cap layer isthat it enables higher aluminium concentrationwithout any significant material degradation. This isnot the case in transistor structures with anuncapped or GaN-capped AlGaN/GaN 2DEG,where relaxation of the strained top AIGaN layertypically prevents the obtention of a low channelresistivity.

For the SiN/AIN/AIGaN design detailed in Figure 2,sheet resistance falls to 235Ω/. with EpiGaNpassivation technology. In this structure, Hallmeasurements indicate that the electron sheetconcentration is 2.15 x 1011 cm-2 and electronmobility is 1,250 cm2/Vs. These are very promisingvalues and they enable the fabrication of deviceswith high transconductance, even when the gatelength is relatively large. They highlight the potentialof this device for high-frequency operation.

The neutralization of surface charges provided bythe SiN layer also unlocks the door to an innovativeapproach for making enhancement-mode devices.This form of transistor, which is required for powerconverters, can be made by combining a thinAIGaN barrier layer with local removal of SiN underthe gate. By offering a very smooth, clean anduniform protecting surface for active layers, the use

of in-situ SiN also enhances the controllability of thedevice manufacture , further to reduce the cross-contamination potential issues when using a III-Vmaterial in a Si CMOS fab. The excellent uniformityof the in-situ SiN layer is shown on Figure 3.

From 600 V to 1.2 kVToday EpiGaN is able to manufacture GaN-on-silicon wafers with a breakdown voltage above 600Vand a very low leakage current. But this is by nomeans the upper limit for the breakdown voltage ofthese devices. Recent work has yielded FETs with abreakdown above 2kV.

GaN can already be used to make power productsin the 30 to 200V and 600V range, and it will not belong before variants operating at 1,200V can beadded to the list. This will pave the way for thereplacement of two silicon MOSFETs with a singleGaN HEMT - a move that will trim the cost andweight of power converters. To make this happen,EpiGaN is focusing on the development of 1,200Vepi-wafers on 150mm silicon.

Future products based on this process willcomplement the existing range of 4-inch and 150-mm epi-wafers for high-voltage and/or high-frequency applications. The production capacity forthese products is currently being ramped up at thenew Hasselt facility. In parallel, manufacturingprocesses for 200mm GaN epi-wafers are beingdeveloped.

Although today the demand for these larger epi-wafers is weaker than that for those with diametersof 150mm or less, larger sizes will spur a costreduction and enable GaN to deliver success in afield where, until now, no compound semiconductorhas seriously challenged silicon.

© 2012 Angel Business Communications. Permission required.

Fig 3: The uniformity of the in-situ SiN layer

Today EpiGaN

is able to

manufacture

GaN-on-silicon

wafers with a

breakdown

voltage

above 600V

and a very

low leakage

current

10 Epigan Final DR.qxp 1/6/12 10:43 Page 13

Page 14: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

14 www.siliconsemiconductor.net Issue 2 2012

technology � III-V MOSFETs

Time and time again, critics have claimed that there willsoon come an end to the shrinking of silicon transistors to

smaller dimensions. Some have argued that photo-lithographycannot extend beyond optical wavelengths – but tools have beenbuilt that can do just that; others have warned that electronscannot zip about fast enough when transistors reach thenanoscale – but adding a little strain into the material has put thatissue to bed; while others have pointed out that high leakagecurrents will put an end to device scaling – but this issue has notbeen a show-stopper, thanks to a switch from silicon dioxide tohigh-k dielectrics, such as hafnium dioxide.

Today, claims that the days of the silicon transistor are numberedare still being made – and there’s a good chance that this time thecritics could well be right. That’s because this belief is not justheld by those outside the silicon industry, but also some within it:

Alternatives to silicon are now on the International TechnologyRoadmap for Semiconductors (ITRS), with III-Vs and germaniumpredicted to make an impact at the 11 nm node that could berolled out in 2015.

Iain Thayne from the University of Glasgow, UK, explained thereason for the potential invasion of these new materials intosilicon lines at the recent CS Europe conference in Frankfurt,Germany. Thayne, whose efforts at developing III-V transistorsinitially focused on RF and millimetre-wave front-end applications,argued that compound semiconductors must be introduced tomaintain performance as dimensions are reduced.

“Increasing the density of transistors in silicon leads to heating,which will soon approach an air-conditioning limit,” said Thayne.He explained that preventing over-heating in the circuits that willbe built with tomorrow’s transistors requires a reduction in thevoltage of the power supply, but no compromise in performance.The only way to satisfy these conditions is to replace silicontransistors with those based on III-Vs and germanium.

He also pointed out that scaling efforts are focused on increasingthe density of transistors. Although every new node has a shortergate length, it also has a reduction in gate pitch, which is scaledeven more aggressively (see Figure 1).

Sceptics within the silicon industry have argued that III-Vs willnever be suitable for logic circuits, because the drive currentsproduced by this class of transistor are not high enough, due tothe low densities of states associated with compoundsemiconductors. But Thayne’s colleague Asen Asenov hasspotted fundamental flaws in this argument: Although the lowdensity of states in III-Vs leads to a lower effective capacitance,these materials combine a high mobility with a low mass, resultingin the injection of carriers with high velocities and increased‘ballisticity’. What’s more, the lower density of states means that

III-Vs and the silicon roadmapSilicon foundries could switch production from silicon MOSFETs to those based onIII-Vs and germanium by the end of this decade. Making this transition is far fromtrivial, but progress is being made in gate dielectrics, contact resistance, peakcurrent flow and material quality. Richard Stevenson reports.

Figure 1. According to the ITRS roadmap, between 2011 and2024 reductions in gate pitch will be more rapid than those ingate length

14 III-V MOSFETs FinalDR.qxp 1/6/12 10:44 Page 14

Page 15: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

Issue 2 2012 www.siliconsemiconductor.net 15

technology � III-V MOSFETs

carriers are injected with a higher velocity, thanks to their higherenergy; and due to superior mobility, these materials can trimaccess resistance and thereby boost the efficiency of gatemodulation.

Material attributesTo optimise III-V MOSFETs, developers must select a material thatcombines a high carrier velocity with the potential to yield adevice with a low operating voltage. According to Thayne, as gatepitch decreases from 75 nm to 15 nm (the value expected in2024), channel concentration may decrease from 8.5 x 1012 cm-2 to 5.1 x 1012 cm-2 while the carrier velocity will increase from 1.3 x 105 ms-1 to 3.5 x 105 ms-1. The most promising materials formeeting those requirements are alloys of InGaAs, and work fromMIT suggests that In0.7Ga0.3As channels can produce injectionvelocities above 3 x 105 ms-1 at gate lengths below 20 nm.

Thayne discussed additional requirements for the introduction ofIII-V MOSFETs for logic applications. He said that transistors willneed to have a sub-threshold swing of 75 mV/decade so that theycould be turned-off easily, and they will probably need to be builtwith a non-planar architecture, such as the ‘Ivy Gate’ tri-gatestructure employed by Intel for the manufacture of transistors atthe 22 nm node. In addition, due to scaling, source and draindimensions will have to be just a few nanometres, which couldlead to an unwanted hike in contact resistance.

The Glasgow team, which has been involved in both theEuropean Dual Logic programme and efforts led by theSemiconductor Research Corporation Non-Classical CMOSResearch Center, has focused its efforts in three directions: Gatestack improvements, resolving issues related to the scaling ofsource and drain contacts, and the development of siliconcompatible process flows for III-V MOSFETs.

Efforts have centred on a flatband architecture MOSFET (seeFigure 2). This is similar to a HEMT, according to Thayne, becausethere is delta-doping in a high bandgap material, leading to thetransfer of electrons to a low bandgap channel where they createa high-mobility, two-dimensional electron gas. If a high work-function gate metal is formed on top of the dielectric, depletionoccurs, driving the device into an off-state atzero bias. Forward biasing of the gaterepopulates the channel with carriers.

This MOSFET architecture is claimed to havetwo key strengths: Immunity to short-channeleffects, due to a high bandgap lower barrier;and high mobility, thanks to a combination ofno doping in the channel, low interfaceroughness scattering and a low resistance ofthe source and drain extension accessregions.

When the team started developing III-V MOSFETs at thebeginning of the previous decade, efforts were partly devoted toestablishing a good gate stack. Initially they employed a VeecoGen III dual chamber MBE system to grow III-V layers by MBE ona semi-insulating GaAs substrate, before transferring the sampleunder vacuum to a second chamber, where they added a Ga20template and a GdGaO layer. The flatband III-V MOSFETsfabricated from these wafers produced mobilities in excess of5000 cm2 V-1s-1 at sheet carrier densities above 2 x 1012 cm-2, andtransistors with a 1 µm gate length had a transconductance of357 µS/µm and a sub-threshold swing of 68 mV/decade.

To increase injection velocity, the researchersswitched to In0.53Ga0.47As channels and Al2O3

dielectrics, which were deposited by a 60-cycle atomic layer deposition process. The benefits of this new structure includedgains in mobility – at an electron density of2 x 1012 cm-2 mobility topped 6000 cm2 V-1s-1.

For surface-channel transistors with a 1 µmgate and a 2.5 nm-thick Al2O3 dielectric,transconductance hit 432 µS/µm, but the sub-threshold swing reached 150 mV/decade.

Figure 2. Ian Thayne’s group at the University of Glasgow, UK,has developed III-V MOSFETs with a flatband architecture

14 III-V MOSFETs FinalDR.qxp 1/6/12 10:44 Page 15

Page 16: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

16 www.siliconsemiconductor.net Issue 2 2012

technology � III-V MOSFETs

Intel’s move from planar transistors to three-dimensional varaintspoints the way to production of non-planar devices, which will nothave pristine interfaces. To consider the implications of this trend,Thayne, in partnership with Paul McIntyre at Stanford and PaulHurley at the Tyndall Institute, has looked at the impact of varioustreatments of transistor performance.

The team compared three wafers. Two of them were removedfrom the MBE chamber after the growth of III-V materials: A gatedielectric was added to one wafer without any intermediatesurface treatment, so air-exposed oxides were likely to be presentin the dielectric-semiconductor interface; and a optimisedsulphidation treatment was applied to the other prior to depositionof the high-k dielectric. The third wafer had as arsenic capdeposited in the MBE chamber to prevent oxidation in air. Thiscap was removed in the atomic layer deposition tool at Stanford,enabling the gate deposition on a pristine surface. Measurementsof the mobility of MOSFETs made from these wafers reveals that itis possible to produce interfaces as good as those on pristinesurfaces if a sulphidation process is performed (see Figure 3).

The second issue that Thayne and his co-workers haveinvestigated is the fabrication of low resistance source and draincontacts with dimensions of just a few nanometres. The ITRSroadmap dictates that as gate pitch is reduced from 75 nm in2011 to just 15 nm in 2024, source and drain contacts must betrimmed from 21 nm to 2 nm, while source and drain resistancesare cut from 160 Ωµm to 110 Ωµm.

‘Traditional’ approaches will not succeed – experiments andsimulations reveal that contact resistance rises rapidly when thecontact size enters the nanoscale. Several groups have recentlydeveloped different approaches for overcoming this problem,including that from Glasgow, which has turned to NiInAs tofabricate an ultra-low resistance, shallow, metallic source-drain.According to Thayne, this is the first source-drain technology thatcan meet the most aggressive ITRS specification for the 12 nmtechnology node, which corresponds to a gate pitch of 27 nm.

The third strand of research at the Nanoelectronics ResearchCentre is the development of approaches for forming fully self-aligned III-V MOSFETs with silicon compatible process flows. Theteam has pioneered two different designs: ‘Gate first’ and‘replacement gate’ architectures. The former has been used toform In0.3Ga0.7As flatband MOSFETs with a GaO/GaGdO dielectricstack and a 100 nm gate length. These transistors exhibit a peakdrain current of 250 µA/µm, transconductance of 150 µS/µm and asub-threshold swing of 150 mV/decade. Sub-threshold swing fallsto 130 mV/decade with the replacement gate architecture, whichhas a modest on-state performance due to a very high accessresistance of 18 kΩµm. This issue can be addressed byimproving the source drain anneal, which is needed to supressmaterial diffusion in very small devices.

Into the third dimensionOne team that is following Intel’s lead and taking III-V MOSFETsinto the third-dimension is Peide Ye’s group from PurdueUniversity. Ye detailed an evolution path for FETs, which beginswith a bulk III-V planar architecture and ends with a III-V gate-all-around HFET (see Figure 4). His team have recently fabricated thelatter structure, which is built on InP substrates and features a p-doped InGaAs channel, or multiple channels, wrapped in a 10 nm-thick layer of Al2O3 and a thicker layer of WN (see Figure 5for details). Devices with 4 parallel channels, a 50 nm gate lengthand a 30 nm fin width produce a very low gate leakage, a peak

Figure 3. A sulphidation process developed by researchers at the Tyndall Institute can offset most of the degradation in mobility resulting from the removal of an arsenic cap.This is a promising result for non-planar transistors, which willnot have pristine interfaces

The second issue that Thayne and his co-workers have investigated is

the fabrication of low resistance source and drain contacts with dimensions

of just a few nanometres. The ITRS roadmap dictates that as gate pitch is

reduced from 75 nm in 2011 to just 15 nm in 2024

Figure 4. According to Peide Ye from Purdue University, III-VMOSFETs have evolved from planar structures to those thatwrap a dielectric right around the channel

14 III-V MOSFETs FinalDR.qxp 1/6/12 10:44 Page 16

Page 17: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

Issue 2 2012 www.siliconsemiconductor.net 17

technology � III-V MOSFETs

current of 1170 µA/µm and a sub-threshold swing of 150 mV/decade.

These devices have several promising attributes for making animpact on the ITRS roadmap. Reductions in gate length result inan increase in current and transconductance, and the transistorsappear to be immune from short channel effects. What’s more,reductions in the dimensions of the nanowire channels lead to ahike in current flow, thanks to quantum confinement.

Recently, Ye has had a paper accepted for publication in Electronics

Letters that details these findings. He and his team found that thecurrent increased by 40 percent when nanowire widths werereduced from 50 nm to 30 nm, while mobility and transconductanceincreased by 34 percent and just over 20 percent, respectively.

To understand why thinning of the nanowires has lead to anincrease in current – this is the opposite of what one would expect– the team simulated device behaviour using Sentaurus Device, atool made by Synopsys. Simulations revealed that nanowires operatein the volume inversion regime, which means that the electrondensity reduces at the edges of the nanowire and increases in itsinner region. Electrons can then, on average, travel faster throughthe channel because it is increasingly likely that these chagecarriers are away from the interface, where scattering impedesprogress. Simulations suggest that the proportion of electrons in themiddle of the wire increases as its dimensions are reduced, with avery promising electron density profile reached for a width of 10 nm.

Building on siliconIf compound semiconductor MOSFETs are to move intoproduction, they must be made on large diameter silicon

Figure 6. Researchers at imec are developing processes tounite germanium and III-V transistors on a silicon substrate

substrates. Forming high quality germanium and III-V transistorson silicon is tricky, due to differences in lattice constants andcrystal structures, but progress in this direction is being made byMatty Caymax’s group at imec, Belgium. At CS Europe Caymaxdetailed efforts to form high-quality germanium and III-V deviceson silicon, the latter achieved using trenches with a cup-shapedbottom (more details can be found at imec prepares the ground

for III-V transistors on silicon, Compound Semiconductor March2011 p.12). This approach (see Figure 6) eliminates anti-phasedomains that lead to device shorting. “The best result that wehave right now is a defect density of 2 x 108 cm-2,” said Caymax.“This is not sufficient – we have to work to get a lower dislocation density.”

Transistors made recently suffer from a high junction leakage. To investigate the origin of this leakage, the team have carried outatom probe tomography, a technique that has revealed that someatoms are located in places where they should not be: Somegermanium is found in InP, and some indium and phosphorousatoms are located in germanium and the underlying siliconsubstrate.

Caymax’s team, like those headed by Ye and Thayne, still haswork to do to help III-Vs to make an impact in future logicapplications. But the results to date are promising, showing waysto overcome many tough hurdles, and it seems that when siliconCMOS finally runs out of steam in a few years’ time, compoundsemiconductors will be there to pick up the pieces.

© 2012 Angel Business Communications. Permission required.

Figure 5. Peide Ye’s group at Purdue University havepioneered the III-V gate-all-around FET. Transistors that theyhave built so far feature a gate length of 50-120 nm, a finwidth of either 30 nm or 50 nm, and 1, 4, 9 or 19 parallel wireswith a length of 150-200 nm and an Al2O3 dielectric with a thickness of 10 nm

14 III-V MOSFETs FinalDR.qxp 1/6/12 10:45 Page 17

Page 18: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

18 www.siliconsemiconductor.net Issue 2 2012

process � control

Lithography process controlenhancements using advancedlight source metrology

As ArF immersion lithography is extended with multi-patterning techniques,improved process control is required to ensure stable and repeatable performance.Nakgeuon Seong, Omar Zurita, Joshua Thornes,Yookeun Won, Slava Rokitski, BerndBurfeindt, from Cymer Inc. describes how the addition of on-board beam metrologyon the light source along with data analysis tools can provide an additional processcontrol dimension.

Multiple lasers in the field weremonitored after installing a new on-

board metrology product called SmartPulse. Wefound that changes in beam parameters can besignificantly reduced at major module serviceevents when new service procedures and on-boardmetrology were used, while significant beamparameter shift and illumination pupil changes wereobserved when on-board metrology was notavailable at service events, causing lengthy scannerillumination pupil recalibration.

SmartPulse software from Cymer Inc. was used tomonitor the variation of light source performanceparameters, including critical beam parameters, atwafer level resolution.

The monitoring and control of process parametersat the process tool level has been used to improveprocess stability without increasing direct off-linewafer metrology, enabling fast wafer turn-aroundtime and fab capital cost reduction. We have

identified the need to provide process monitoringcapability with higher resolution and additionalprocess parameters at the light source level tocomplement monitoring at the litho cell (scannerand track).

Process monitoring and controlimprovementAs the use of ArF immersion lithography processesfor most critical layer patterning has continued formultiple technology generations, each lithographicimaging solution has become highly optimized forspecific patterns to be printed. Use of differentimaging solutions for different device patterns alsodrives different levels of control for processvariables. For example, highly optimized SMO(source mask optimization) imaging solutionsrequire tighter control of the illumination pupil thansimple SDP (Spacer double pattering) with dipoleillumination. Very high throughput lithographypatterning processes were implemented to reducethe cost of multiple patterning processes, which arecommonly used for memory device production.

It has been recognized that smaller pattern size andlower k1 imaging processes at the latest technologynodes drive tighter control of more processperformance parameters of lithography tools than atprevious nodes. Process parameter monitoring andcontrol for the process tools has been adopted as away of reducing process errors and improvingprocess control, and minimizing added metrologycapital costs.(Figure 1.)

Figure 1. Conceptdiagram of processcontrol utilizingequipment processparameters

18 Cymer vFinal DR.qxp 1/6/12 10:45 Page 18

Page 19: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

Issue 2 2012 www.siliconsemiconductor.net 19

process � control

Laser parameter monitoringLight sources for lithography have previously reliedon three major metrics to determine if the quality ofthe light produced meets requirements for waferproduction: center wavelength, bandwidth andenergy.

The importance of monitoring and controlling lightsource bandwidth was previously reported onvarious papers and improvements to the laser weredelivered over time. Lately a software solution hasbeen developed for improved monitoring, reportingand analysis capabilities. The software correlateslaser optical parameters, such as bandwidth,wavelength and energy, to the wafer level.

In addition to the optical parameters of the lightsource, the need for beam parameter monitoringand control was recognized when noticeablechanges of illumination pupil images weresometimes reported after laser service eventsrequiring laser beam alignment (Figure 2.)

Illumination pupil changes can induce changes ofwafer CD, which is a significant issue for currentlithography processes since the stability of theillumination pupil is one of the most criticalparameters for OPC (optical proximity correction)stability. When an illumination pupil change wasobserved, it triggered, in most cases, a lengthyscanner illumination recalibration process, whichcan cause several hours of production down time.

In general, laser beam parameters are measuredand characterized with off-line field service toolsafter the laser service events. Off-line beammetrology does not provide beam parameterinformation before the service event, cannot beused as an absolute reference (due to insertion andremoval) and cannot provide real-time informationduring normal operation of the laser. Therefore newon-board metrology was developed to enable real-time measurement of beam parameters with highaccuracy and with a fixed reference point.

On-board laser metrology Advanced, on-board beam parameter metrology isoffered as an upgrade to Cymer’s industry leadingXL light source platform. This upgrade adds newcapability to the platform by providing a newmetrology system with significantly expanded in-situmetrology capabilities.

This expands the existing metrology on the XLplatform to make available to the chipmaker beamparameter measurements in addition to the alreadyavailable data on energy, wavelength andbandwidth.

The first of these new capabilities is in-situ 2Dimaging of the light source beam. This systemobtains both near-field and far-field images of thelight source (Figure 3) simultaneously. Theseimages are used both qualitatively to provideadditional information about the light source andquantitatively to derive standard beam parametermetrics, such as divergence and energy density.The on-board beam parameter metrology alsoincludes pointing measurements which areabsolutely referenced to the interface betweenscanner and light source. Lastly, polarization ratiois also constantly measured by the metrology unit.

The on-board local controller processes data fromthe metrology unit into high resolution data thatcharacterizes the light source performance. Thisdata can be monitored by chipmakers tounderstand potential wafer variability. Cymer’s newlight source parameter monitoring software,SmartPulse, was developed for efficient monitoringof light source performance parameters with built instatistical analysis and warning capabilities. Itperforms data monitoring, reporting and analysis oflight source performance parameters including theon-board beam parameter metrology data.

It provides wafer level resolution data enablingdirect correlation of wafer performance to lightsource parameters to support improved processcontrol and yield. The product is also capable ofalarming for any excursion of the monitoredparameters from preset limits.

Figure 2. Twoexamples ofillumination pupilchange after laserservice events

Figure 3: Color imageof far field (left) andnear field (right) of XL light sourceobtained with on-board beammetrology

18 Cymer vFinal DR.qxp 1/6/12 10:45 Page 19

Page 20: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

20 www.siliconsemiconductor.net Issue 2 2012

process � control

Field application data of on-board metrologyMultiple on-board metrology modules were installedin the field and monitored for several months. Longterm drift of all beam parameters was minimal andlocal variation depended on the operationconditions of each tool, due to product type andtool utilization. The local variation was reduced afterlaser modules were replaced and the laserperformance optimized. In general the scale of localvariation was within an acceptable range comparedto control requirements. In one case, a significantshift of vertical pointing was observed on a tool aftera module exchange service and the shift exceedingthe allowed limit value. It would not have beenrecognized if the new on-board beam parametermetrology had not been installed on the tool(Figure4). Total variation of beam parameters canbe maintained well within control requirements ifany shift at service events is reduced by using thenew on-board beam parameter metrology forcontinuous beam parameter monitoring to a fixedreference point.

When the measured data was filtered for 30 to 40percent duty cycle operation, which representstypical wafer exposure operation, excludingmaintenance and calibration events, the localvariation was reduced by about 40%. SmartPulsecaptures light source performance parametersduring wafer exposure operation only to maximizethe correlation of wafer CD performance to recorded

light source performance parameters. Two serviceevents were compared to understand the impact ofservice on beam parameters. At Service A in Figure5, no attempt was made to use measured on-boardbeam parameter metrology and resulted inunacceptable shifts in one beam parameter (verticalpointing). A shift in the illumination pupil was alsoconfirmed. When an improved procedure was usedwith the new on-board beam parameter metrologytool, the change in the beam parameter wasminimized to within normal local variation levels(Service B at Figure 5.)

The results showed that the change in light sourcebeam parameters during light source service eventscan be significantly reduced by using the new on-board beam parameter metrology tool and animproved service procedure. The reduced changeof beam parameter at each service event willminimize the change of illumination pupil, with thepossibility of reducing required scanner illuminationrecalibration procedure, resulting in improvedlithography tool availability for wafer production.

SummaryA new on-board metrology module, whichmeasures beam parameters of the light source inreal-time, and SmartPulse light source parametermonitoring software, were introduced by Cymer toimprove process stability, especially proximity effectfor OPC stability.

Real-time monitoring of light source performanceparameters during wafer exposures will enable acorrelation with CD performance on the wafers aswell as laser health status. The new on-board beamparameter metrology can be used to minimize thechange of beam parameters to avoid lengthyilluminator pupil calibration after light source serviceby using an improved service procedure.

© 2012 Angel Business Communications. Permission required.

Figure 4: Long term(one month) data ofmeasured beamparameters from sixfield installed tools

Figure 5: Comparisonof beam parametervariation surroundinglaser service events

18 Cymer vFinal DR.qxp 1/6/12 10:45 Page 20

Page 21: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

12-023

Lesker Valves

www.lesker.com

FeaturedRectangular Valve Issues?KJLC Rectangular Gate Valves are the solution.No spring actuation means low-particulate generation!

DISCOVER... Booth #2013

Call : 408 441 2043

A unique range of fully organic elastomers for semiconductor sealing applications which demand extreme plasma resistance.

How to:-• Increase CVD and Etch equipment productivity. • Reduce life-time cost of seals.• Minimize particulation in sub 45nm feature

sizes and beyond.

A Unit of

Click : www.prepol.com/kimura

Visit : Booth #2013®

K Lesker PPE.indd 1 31/05/2012 09:37

Page 22: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

22 www.siliconsemiconductor.net Issue 2 2012

measurement � application

The real benefit of SMU instruments for testand measurement applications comes from

their ability to source and measure signalssimultaneously. When compared with usingseparate instruments to handle each function,SMUs’ simultaneous operation provides for fastertest times, simplified connections, improvedaccuracy, less complex programming, and a lowercost of ownership (COO). Their tight integration letsthem protect the device under test (DUT) fromdamage due to accidental overloads, thermalrunaway, and other dangers. It also makes SMUinstruments ideal for characterizing and testingsemiconductors and other non-linear devices andmaterials.

SMU vs. Power Supply

Given that an SMU instrument integrates thefunctions of a power supply with a digitalmultimeter, how exactly does the performance of anSMU’s source differ from that of a typical powersupply?� Greater speed and precision: SMUs are

optimized for both speed and precision, so they can offer significantly faster rise times and much lower measurement uncertainty than power supplies. SMUs’ settling times are measured in microseconds compared to the milliseconds that power supplies require to settle on their programmed value. Similarly, an SMU’s measurement uncertainty is measured in nanoamps vs. microamps for typical power supplies.

� Wider operating range and better resolution: Because of their outstanding low current capability, SMUs typically offer much wider operating ranges with greater resolution than power supplies, so they are suitable for a wider range of test and measurement applications.

� Four-quadrant rather than two-quadrant operation: As illustrated in Figure 2, a typical power supply can only source voltage and/or current. In other words, it provides only two-quadrant operation (in quadrants I and III), but an SMU can provide full four-quadrant operation because it’s capable of sourcing and sinking power, acting as both power supply and an

Choosing a source measurementunit instrument

A source measurement unit (SMU) instrument integrates the capabilities ofa precision power supply (PPS) with those of a high-performance digitalmultimeter (DMM) in a single instrument. The high performancearchitecture allows using them as pulse generators, as waveformgenerators, and as automated current-voltage (I-V) characterizationsystems. Mark A. Cejer, Marketing Director & Lishan Weng, ApplicationsEngineer, Keithley Instruments, Inc discusses the benefits offered.

Figure 1. Basic SMUinstrument topology

22 Keithley vFinal DR.qxp 1/6/12 10:46 Page 22

Page 23: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

Issue 2 2012 www.siliconsemiconductor.net 23

measurement � application

electronic load. During source or sink operation, the SMU can simultaneously measure voltage, current, and resistance. This operating flexibility can be especially valuable when characterizing batteries, solar cells, or other energy generating devices.

� Built-in sweep capabilities: The various sweep capabilities SMUs offer can simplify programming a test’s source, delay, and measure characteristics, significantly boosting testing productivity. All sweeps can be configured for single-event or continuous operation to simplify the process of capturing the data needed to characterize and test a wide range of devices. Sweeps can also be used in conjunction with other throughput-enhancing features like Hi-Lo limit inspection and digital I/O control to create high speed production test systems.� A fixed level sweep outputs a single

level of voltage or current with multiple measurements his is typically done to bias or stress devices. Various types of fixed level sweeps can be generated, depending on the needs of the application.

� Pulsed sweeps are often used to limit the amount of power that goes into a material sample or device over time and to minimize self-heating effects that could otherwise damage semiconductors and light emitting diodes (LEDs), experimental materials such as graphene, or other fragile nanotechnology-based devices.

� Custom sweeps simplify creating application- specific waveforms.

SMU vs. DMMBecause of its built-in sourcing capabilities, an SMUcan minimize overall measurement uncertainty inmany applications. The first diagram in Figure 3shows the basic voltmeter configuration for theSMU. Here, the built-in current source can be usedto offset or suppress any system-level leakagecurrents (such as cable noise) that could causeunwanted errors in voltage measurementapplications.

For current measurements, the SMU’s built-insource and “feedback ammeter” design workstogether to keep voltage burden low, and enablelow current measurements to subpicoamp levels.DMMs do not have the built-in source, and typicallyhave “shunt ammeter” designs that typically limitlow current capabilities to microamp or nanoamplevels.

Finally, for resistance measurements, the SMUarchitecture offers full flexibility over the amount of

current or voltage sourced to the DUT. DMMs havefixed current source values that are dependent onthe range being used to measure resistance. SMUsoffer fully programmable source values formeasuring resistance. This can be valuable forprotecting DUTs or for measuring extra high or extralow resistances. For high resistance measurements,the source voltage method is preferred; for lowresistance measurements, the source currentmethod is best. Some SMUs have a six-wire ohmsfeature that “guards out” the effects of unwantedparallel resistance paths in the circuit.

SMU Measurement TerminologyOne of the first considerations in choosing an SMUinstrument must be the quality of the measurementsit produces. Poor measurement integrity can causethose using the data produced to draw incorrectconclusions about the performance of a given DUT.In R&D, this can mean an imperfect understandingof a device’s operating parameters, leading tounnecessary rework and costly time-to-marketdelays. In production test, inaccuratemeasurements can result in rejection of good parts(false failures) or acceptance of bad ones, either ofwhich can cause poor yields, customerdissatisfaction, and other problems.

When considering an SMU instrument’smeasurement integrity, keep several key terms inmind: accuracy, repeatability or stability, resolution,

Figure 2. A powersupply (right) offersonly two-quadrantoperation; an SMUinstrument (left) can source and sinkpower in all fourquadrants

Figure 3. SMUvoltmeter, ammeter,and ohmmeterconfigurations

22 Keithley vFinal DR.qxp 1/6/12 10:46 Page 23

Page 24: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

Transfer GatesDoor

Outstanding choice

Sizes from SEMI standards up to 150 x 1250 mm

Bellows sealed or shaft sealed actuators

Options such as surface coatings, heaters, different sealing materials and more

Made to fit your requirements

www.vatvalve.com

Insert Valve

Swiss Headquarters Tel ++41 81 771 61 61 [email protected]

VAT Benelux Tel ++31 30 6018251 [email protected]

VAT France Tel 01 69 20 69 11 [email protected]

VAT Germany Tel (089) 46 50 15 [email protected]

VAT U.K. Tel 01926 452 753 [email protected]

VAT USA Tel (781) 935 1446 [email protected]

VAT Japan Tel (045) 333 11 44 [email protected]

VAT Korea Tel 031 662 68 56 [email protected]

VAT Taiwan Tel 03 516 90 88 [email protected]

VAT China Tel 021 5854 4300 [email protected]

VAT Singapore Tel 0065 6252 5121 [email protected]

Research is the foundation for the growth of the Silicon Semiconductor industry.

If you want to highlight the important breakthroughs thatyou make, submit your latest research stories to

[email protected]

It is imperative that Silicon Semiconductor remains a timely resource for this industry, so we

are only interested in highlighting very recent work reported in academic papers.

Therefore, please only consider writing a short piece highlighting your work if you have a

journal paper that has been accepted and about to appear in press, or a paper that has been published within the last month.

Submit your Lab & Fab article

VAT LabFab Silicon.indd 1 31/05/2012 09:53

Page 25: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

Issue 2 2012 www.siliconsemiconductor.net 25

measurement � application

sensitivity, and integration time.

Accuracy is defined as the closeness of agreementbetween the result of a measurement and its truevalue or accepted standard value. Imagine you areshooting arrows at a target: the accuracy of yourshots would be defined by how close the arrowscome to the bullseye.

Repeatability refers to the closeness of agreementbetween successive measurements carried outunder the same conditions. Although repeatability isnot typically specified on an instrument’s datasheet,it can usually be easily determined during aninstrument demonstration or evaluation. Figure 4illustrates the concepts of accuracy vs. repeatability.

Resolution is defined as the smallest portion of thesignal that can be observed. The resolution of aninstrument is determined by the number of digits itcan display on the front panel or send to a PC overthe communication bus. This can often be changedby pressing a front panel button or by sending aprogramming command to the instrument. In Figure5, the user is toggling between 41⁄2, 51⁄2, and 61⁄2 digitson the display and has just selected the 61⁄2-digitdisplay.

An SMU instrument’s usable maximum resolutiondepends on its overall accuracy and the resolutionof its analog-to-digital converter (ADC). Forexample, no one would produce a 61⁄2-digitinstrument with an 8-bit ADC and 5% accuracybecause most of the digits being displayed wouldbe meaningless. In general, however, the higher theresolution is, the higher the bit count on the ADCand the higher the accuracy will be.

The sensitivity of a measurement is the smallestchange in the measured signal that can bedetected. The ultimate sensitivity of an instrumentdepends both on its maximum resolution and itslowest measurement range. For example, a 61⁄2-digit

SMU with a bottom range of 1μA would have 1pAsensitivity. However, depending on that instrument’saccuracy, that sensitivity might not be particularlyuseful.

Measurement instruments employ either (or both) oftwo basic types of analog-to-digital converters:integrating ADCs and digitizing ADCs. In general, anintegrating ADC will offer higher accuracy because itcancels out the unwanted effects of AC noise fromthe power line.

The instrument’s integration rate, which is specifiedin NPLC (Number of Power Line Cycles), isadjustable. To reject AC noise, the NPLC must beequal to or greater than 1. Integrating themeasurement over multiple power line cycles willreject this noise still further and thereby provide amore accurate measurement. However, this noiserejection capability comes at the expense of readingspeed; one power line cycle takes 16.7ms at 60Hzor 20ms at 50Hz. Setting the NPLC to a fraction of aline cycle will provide faster measurements at theexpense of more noise or lower accuracy (Figure 6).

That means the reading rate and measurementspeed of a highly accurate instrument like an SMUare determined by its NPLC setting. However, anADC’s reading rate is only one of many factors thataffects an SMU instrument’s true speed; otherfactors that can affect overall throughput includefunction and range change times, trigger in and outtimes, settling times, and program execution times.

Figure 4. In the target on the left, the shooterhad high accuracy but poor repeatability. Thetarget on the right shows high repeatability butpoor accuracy

Figure 5. Adjusting an SMU instrument’s resolution

Figure 6. ADCintegration timecomparison (NPLC)

22 Keithley vFinal DR.qxp 1/6/12 10:46 Page 25

Page 26: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

Contact details:EpiGaN nvKempische steenweg 2933500 Hasselt - [email protected]: +32 11 56 66 20fax: +32 11 56 66 29

Contact details:

More than your GaN material supplier

EpiGaN provides GaN epitaxial material

solutions for electronics applications

• On Si and SiC substrates• Wafers from 3” up to 6” diameter, 200 mm in

development • InAlGaN heterostructures• With unique in-situ SiN passivation technology

• Customised epi design • Proven results in power switching (600V and

above) • Proven results in RF power (up to 100GHz)

AD_Compound Semiconductor-A4_v1bis.indd 1 7/02/12 14:31

Page 27: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

Issue 2 2012 www.siliconsemiconductor.net 27

measurement � application

Key Considerations for Selectingan SMU InstrumentWhen evaluating a specific SMU instrument for aspecific application, it’s essential to consider:� System-level speed/throughput� Source resolution vs. stability� Measurement settling time, offset error, noise� Cabling and connections

Let’s examine each of these characteristics.� System-level speed or throughput. In otherwords, how quickly can you get a finalmeasurement or set of measurements (such as asuite of current vs. voltage parameters) back to thePC controller? For example, let’s consider a typicaldiode or LED test, which will consist of threemeasurements—forward voltage, reverse voltage,and reverse current—each of which is typicallycompared to upper and lower limits. The part isconsidered “bad” if any one parameter fails. Theobjective is to test this part as quickly as possiblewithout sacrificing accuracy in order to minimize thecost of test.

The challenge is that all the source and measurevalues are different. Although the readings/secondspec is important, a range or function change mustoccur before a reading can be taken. This type oftest isn’t about taking multiple readings of the samevalue repeatedly; it’s about taking single-pointmeasurements at different source/measure levels.Therefore, the speed of the ADC (the NPLC spec)alone won’t be a good indication of how quickly theinstrument can test this part. One should alsoconsider a variety of other operating parameters,including trigger in time, range change time,function change time, source settling time, triggerout time, and command transfer, processing, andexecution time.

Figure 7 shows a comparison of the actual testresults from a Keithley Series 2600A SystemSourceMeter instrument with that of another brandof SMU instrument. The data shows the number ofdiodes tested per second, so the higher the numberthe higher the speed. This is a true measure of testthroughput.

Recall that the larger the NPLC is, the moreaccurate the measurement will be (corresponding tolower speed). Note how reducing the NPLC settingto less than 0.1 NPLC does not make a significantdifference in overall test time per part. In typicalapplications in which multiple parameters are beingtested, the speed of other characteristics, such asrange or function change time, triggering time, buscommunication time, or program execution time,start to dominate. Even at 1 NPLC, these other

characteristics, if not optimized by the SMUinstrument manufacturer, can have a big impact onoverall test throughput. The Keithley Series 2600ASystem SourceMeter instrument in this example cantest more than twice as many parts persecond at 1 NPLC; therefore, it has more than 100%faster throughput than the other SMU instrumentwhile maintaining optimum accuracy.

Although range and function change times areimportant, it’s also possible to obtain majorbreakthroughs in system throughput by embeddingthen executing the majority of the test programwithin the SMU instrument itself. This eliminatesmost of the communications bus traffic, speeds uptriggering, and optimizes command processingtime. Using this type of feature is a major reason anSMU instrument running at 0.1 NPLC can be asmuch as four times faster and much more accuratethan an SMU running at 0.00048 NPLC in real-worldapplications.

Keithley’s Series 2600A System SourceMeterinstruments employ a feature known as Test ScriptProcessing, or TSP technology. TSP technologyoptimizes command transfer, command processing,and command execution times by embedding theactual test program (or script) into the instrument’snon-volatile memory. However, TSP technology

Figure 7. Test results: parts per second

Figure 8. Programmingresolution based onspecification sheet

Figure 9. Actualoutput stability

22 Keithley vFinal DR.qxp 1/6/12 10:46 Page 27

Page 28: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

28 www.siliconsemiconductor.net Issue 2 2012

measurement � application

goes far beyond simply storing and executing asequence of standard SCPI commands. TSPtechnology is based on Lua, a powerful BASIC-likescripting language. Functions like “do” loops,variables, If-Then-Else statements, and more are allsupported in Lua. Therefore, TSP scripts are just aspowerful as traditional test programs residing in PCsbut have the advantage of actually being embeddedin the instrument to optimize overall test speed.� An SMU instrument’s sourcing resolution andoutput stability are also key to its overallperformance. Let’s look at the relationship betweensource resolution and output stability.

When evaluating the performance of an SMUinstrument’s source, it’s important to look beyondthe spec sheet and the instrument’s source

readback display. The source’s actual outputperformance may be very different from its specifiedresolution or from its displayed value, which mayrequire instrument specifiers to do their own testingto verify it.

Based solely on an SMU instrument’s spec sheet,one might conclude that the SMU instrument withthe greatest programming resolution is the mostaccurate. The programming resolution determinesthe output’s “fineness” of adjustment. In Figure 8,note that the non-Keithley SMU offers 50 timesgreater programming resolution than the Model2400 SourceMeter instrument.

Furthermore, based on the SMU’s “sourcereadback” value displayed on the front panel orover the bus (Figure 9), one might conclude that theSMU showing readback values closest to theprogrammed values is the most stable and thereforethe better choice. In this example, note that the non-Keithley SMU shows 0μV of peak-to-peak variationwhen sourcing a 10.001V signal, while the Model2400 shows 30μV.

However, the picture changes dramatically when wemeasure the actual source output using a separateinstrument. To obtain the data in the right-mostcolumn of Figure 9, we chose Keithley’s Model 20028?-digit digital multimeter to measure the sourceoutput of each SMU directly. The Model 2002 is oneof the most accurate DMMs available on the marketand is used by many calibration labs, which makesit a good choice for high accuracy applications ofthis type.

To view the stability of the source outputs, we made100 measurements using the Model 2002 at 10NPLC to ensure maximum accuracy. We observedthat the non-Keithley 61⁄2-digit SM (Figure 10a)actually has almost 0.5mV peak-to-peak variationwhen sourcing a 10.001V signal. This is verydifferent from the 0μV variation its source readbackdisplay indicates. In addition, this error is more than40 times greater than the 10μV programmingresolution. The Keithley Model 2400 SourceMeterinstrument (Figure 10b) actually has more than 10times better output stability than the non-Keithley61⁄2-digit SMU (42.9μV vs. 438.7μV).

For the non-Keithley SMU, note that the readbackvoltage is exactly the same as the programmedvoltage. However, the actual measured voltage isquite different from the readback voltage or theprogrammed voltage. The SMU readback indicatesthe output voltage to be exactly 10.001V; in reality,the output voltage is somewhere between 10.0014Vand 10.0018V. This is a significant amount of error

Figure 10a. Actual source performance: programming resolution vs.stability for non-Keithley 6?-digit SMU

Figure 10b. Actual source performance: programming resolution vs.stability for Keithley Model 2400 SourceMeter instrument

22 Keithley vFinal DR.qxp 1/6/12 10:46 Page 28

Page 29: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

Issue 2 2012 www.siliconsemiconductor.net 29

measurement � application

that the user would not normally see indicated onthe SMU display. In addition, the fineness ofadjustment of the programming resolution (10μV) isoverwhelmed by the inherent error of the source, sothis level of resolution is unrealizable.

In contrast, for the Keithley Model 2400SourceMeter instrument, note that the readbackvoltage closely tracks the actual voltage measuredat the output terminals. You’ll also see that thereadback voltage differs from the programmedvoltage. One would expect to see a difference,given the source’s accuracy specs. These kinds ofresults should give you confidence that the voltageactually being delivered to the DUT is that which isexpected. In addition, with the Model 2400, thesource error does not overwhelm the programmingresolution, as it does for the non-Keithley SMU. Thatmeans users can have the confidence to take fulladvantage of the fineness of adjustment of theprogramming resolution.

As this comparison shows, an SMU instrument’sprogramming resolution specification is not a goodindication of its stability and overall performance. Italso shows that the source readback results can behighly questionable. Therefore, when evaluating anSMU for your application, be sure to do sometesting for yourself.

� Measurement settling time, offset error, and noisecan have a big impact on an SMU instrument’sperformance, particularly in low current applications.The example illustrated in Figure 11 shows theresults of two SMU instruments sourcing 200V withnothing connected to the input terminals whilemeasuring the resulting current using eachinstrument’s built-in ammeter feature. Thiscomparison offers a good indication of eachinstrument’s fundamental low current performance,and it’s an easy test to recreate on the test bench.

Note that the non-Keithley 61⁄2-digit SMU (the blueline) settles to its specified offset error of 50pA inabout four seconds. The “bumpiness” of the datacurve indicates measurement noise. In contrast, theKeithley Model 2636A (the red line) settles to itsspecified offset error of 0.12pA (120fA) in about halfa second.

The smooth data curve indicates a distinct lack ofmeasurement noise. So, based on the data, it’sobvious the Model 2636A will deliver a bettermeasurement faster. In fact, at the point when theModel 2636A is settled and capable of providing in-spec sub-picoamp measurements, the non-KeithleySMU still has nanoamp-level errors. In addition, ifyou were to take a series of measurements over

time, the Model 2636A would provide moreconsistent results due to its fast, flat, and noise-freesettling.

Note that, in either case, when measuring lowcurrent, the settling times drive overall test time.This is due to R-C time constants inherent in theoverall architectural design of any SMU instrument.Therefore, an ADC running at sub-line cycleintegration (for example, at 0.001 NPLC) won’tprovide a faster measurement. Low currentperformance is very important for manysemiconductor and optoelectronic applications, aswell as in materials research applications such asnanoscale devices, graphene, etc. To understandthe true measurement performance of an SMUinstrument, it’s important to look beyond “headline”terms like 61⁄2 digits or 10fA resolution. Figure 12offers another comparison of the low currentperformance of the Model 2636A with the non-Keithley 61⁄2-digit SMU.

Figure 12. It’s important to understand thedifference between an SMU instrument’s actualmeasurement performance and its “headline”specifications. The table lists specifications from thedata sheet; the diagram explains the offsetaccuracy.

The non-Keithley SMU is specified as having 61⁄2digits and 10fA resolution. However, a closer look atthe manufacturer’s specs shows that its bottomcurrent range is 10nA and its offset accuracy is50pA. The total accuracy of most instruments iscalculated as the gain accuracy plus offsetaccuracy. Gain accuracy is typically given in % ofsignal, and offset accuracy is usually a fixedamount. The Model 2636A is specified as having1fA resolution. The spec table in Figure 12 showsthat it has a 100pA range and 120fA of offset

Figure 11. Comparisonof measurementsettling time, offseterror, and noise

22 Keithley vFinal DR.qxp 1/6/12 10:47 Page 29

Page 30: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

30 www.siliconsemiconductor.net Issue 2 2012

measurement � application

accuracy. Obviously, although both the Keithley andnon-Keithley SMU instruments can appear similarwhen looking at the “headline” specs, the Model2636A actually has 400 times better offset accuracy,so it has much better sensitivity, and is capable offar more accurate low current measurements.� Cabling. Using triaxial cables rather than themore common coaxial cables is essential toachieving optimal low current measurementperformance. Triaxial cables have an extra shieldthat coaxial ones don’t, which ensures lower currentleakage, better R-C time constant response, andgreater noise immunity. In addition, the better R-C

response allows for faster settling when measuringhigher levels of current.

Figure 13 illustrates how a triaxial cable works withthe SMU instrument’s driven guard to prevent theleakage resistance of the cable from degrading thelow current measurements. In the circuit on the top,the leakage resistance of the coaxial cable is inparallel with the device under test, creating anunwanted leakage current. This leakage current willdegrade low current measurements.

In the circuit on the bottom, the inside shield of thetriaxial cable is connected to the guard terminal ofthe SMU instrument. Now this shield is driven by theSMU’s unity-gain, low impedance amplifier (Guard).The difference in potential between theForce/Output Hi terminal and the Guard terminal isnearly 0V, so the leakage current is eliminated.

Due to their high level of performance, triaxialcables can be expensive, so when specifying yourfinal test configuration or comparing pricequotations from various manufacturers, makecertain they are included with the SMU instrument.

If they are considered an optional accessoryinstead, you could be in for a costly surprise. In addition, some SMU instruments require optional adapters to convert more common input connectors, like banana jacks, to use triaxialcables.

Again, be sure to understand and specify yourcabling carefully, because it can easily add morethan $2000 to the total cost of an SMU instrument.

ConclusionThe integrity of the measurements an SMUinstrument produces must always be a primaryselection consideration. Poor measurement integritycan produce costly errors in both R&D andproduction test applications, leading to expensiverework, time-to-market delays, poor yields,customer dissatisfaction, and other problems.

A careful evaluation of an SMU’s accuracy,repeatability, resolution, sensitivity, and integrationtime is critical. Other key considerations whenselecting an SMU instrument include system-levelthroughput, source stability, measurement settlingtime, offset error, and noise, and finally, cabling andconnection issues.

© 2012 Angel Business Communications. Permission required.

Figure 13. Cable and connectionconsiderations

22 Keithley vFinal DR.qxp 1/6/12 10:47 Page 30

Page 31: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

Untitled-1 1 23/02/2012 09:46

Page 32: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

32 www.siliconsemiconductor.net Issue 2 2012

moisture � measurement

Orders of magnitude: Addressingthe semiconductor industry’sexponential needs

Peter Berg, Bernt Meßtechnik GmbH and Fred Conroy, TigerOptics LLC review the progress made in the last 10 years.

32 Tiger Optics Final DR.qxp 1/6/12 10:47 Page 32

Page 33: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

Issue 2 2012 www.siliconsemiconductor.net 33

moisture � measurement

Tiger Optics reduced the lower detectionlimits (LDL) by a factor of ten times (10x) or

more when compared to existing on-line moistureanalyzer technologies such as the electrolyticprocess using Faraday’s Law or the quartz-crystalmicrobalance (QCM). Not only did the MTO-1000offer lower detection limits; it also provided the userwith an absolute measurement that did not requirethe use of zero or span gases. The semiconductorindustry took heed. In its fabrication plants, themargin of success can hinge on the purity ofrequired gases.

Moisture Measurement via Ring-down SpectroscopyThe Tiger Optics’ core technology is based oncontinuous wave cavity ring-down spectroscopy(CW-CRDS). The measurement principle is shown inFigure 1. A laser beam in the near-infrared (NIR)realm is coupled to a measurement cell, withparallel, highly reflective mirrors at either end. Thedielectric coating of the mirrors reflects more than99.999 percent of the light within a specific, rathernarrow, frequency band. The small amount of lightthat passes through the mirror at the far end of themeasurement cell is captured by a detector, whichmeasures its remaining intensity. The gas stream tobe analyzed flows continuously through themeasurement cell.

The measurement process starts with thecontinuous-wave (CW) laser energizing the cell untilthe light energy reaches a threshold value. Thelaser is then shut off for a fraction of a second. Thelaser beam travels back and forth between themirrors within the measurement cell, for a total pathlength of close to 30 kilometers. The laser light’sintensity level follows a decreasing exponentialfunction until the energy is exhausted (a “ringdown”). The determination of the concentration ofmoisture is based on the time required for the lightto die.

CW CRDS provides an “absolute” measurement viathe Beer-Lambert Law, so no zero gas is required.The zero portion of the measurement is determinedby intentionally tuning the laser to a frequency atwhich moisture does not absorb light. Figure 2shows the water vapor spectrum in the wavelengthrange of 1391 to 1393 nm. In the wide regionmarked “TZero – Abklingzeit/Ring-down time,” thereis no absorption of light by the moisture present inthe gas stream. The associated ring-down time of86 microseconds is solely caused by the loss oflight from the measurement cell. The laser is thentuned to the wavelength of a known absorptionpeak of the water vapor spectrum (marked “TPeakH2O-Band“ in Figure 2). The shorter measured ring-down time of 29 microseconds is due to moistureabsorbing a portion of the light. When the TZero

Figure 1: Tiger OpticsCW-CRDS Schematic

32 Tiger Optics Final DR.qxp 1/6/12 10:47 Page 33

Page 34: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

34 www.siliconsemiconductor.net Issue 2 2012

moisture � measurement

and TPeak ring-down times are entered into theformula of the Beer-Lambert Law, the result is themoisture concentration. This time-basedmeasurement contrasts with other laser-basedmeasurement techniques relying on hard-to-controlfactors such as differences in light intensity,rendering them “relative” techniques, requiringexternal calibration.

While a true zero measurement is insured bycapturing the ring-down time off-peak, the on-peakperformance is verified via a reference cell. A smallfraction of the laser light is diverted through areference cell that contains a small amount of theanalyte in question.

When the laser is exactly on peak, the amount oflight reaching a detector at the far end of thereference cell is minimal. If the intensity begins toincrease (indicating a drift from the correctwavelength), the laser is adjusted by changing thesupplied current until the intensity is again at aminimum. This “laser locking” ensures that theproper TPeak wavelength is being used andeliminates any long-term drift that continues toplague other technologies. While the underlyingscience is relatively complex, the instruments arevery simple to operate. All of the calculations are

performed by the system’s software and theconcentration is continuously updated on the touch-screen display. Tiger analyzers are effortless toinstall and do not require the use of calibrationgases or null gases. Once the system is taken outof the crate, measurements on a dry gas can betaken in just a matter of minutes.

Tiger’s ReachSince 2001, Tiger’s R&D team has developed avariety of analyzer versions from its CW-CRDStechnology. These include the LaserTrace family,HALO family, Tiger-i (for ambient and environmentalcontaminants), ALOHA-H2O (for UHP ammonia),and Prismatic. Each platform addresses specificmarket needs. Foremost on Tiger’s agenda:anticipating the requirements of the semiconductorindustry, with its ever-decreasing line geometriescoupled with increasing wafer sizes. No instrumentmaker is more attuned to a fabrication plant’sconstant need for gas purity analyzers with lowerLDLs, reduced cost of ownership (COO), andincreased uptime.

Accordingly, Tiger introduced its LaserTrace systemin 2003. The platform provides users with a modularproduct line that allows for the monitoring of theirbulk gases (Ar, He, H2, N2, O2) to sub-ppb levelsfor moisture, oxygen, methane, and other analytes.By 2008, the LaserTrace+ system was able toprovide users with an LDL as low as 200 ppt(depending upon the gas matrix). The LaserTracehas become the company’s most popular productfamily, with nearly 500 systems in use worldwide.

The EURAMET 1002 StudyThe absolute nature of the CW-CRDS technology isheralded not only by industrial users, but by thescientific community as well. Throughout the world,national metrology institutes (NMIs) haveestablished their own methods of generatingprecise levels of moisture to develop and comparestandards and to perform vital calibration processesin their own country or region.

As each NMI’s moisture generator is large and ofcomplex design, it has not been feasible to shipthese generators around the globe for comparisonstudies. The NMIs needed a portable, absolutemeasurement technique that could be shipped fromone institute to another to perform the analysis.Enter Tiger Optics.

Using two Tiger instruments, the EuropeanAssociation of National Metrology Institutes(EURAMET) recently completed an internationalstudy of different moisture generating techniquesfrom four (4) NMIsii. The participants included theNational Institute of Standards and Technology(NIST, USA), National Metrology Institute of Japan(NMIJ, Japan), National Physical Laboratory (NPL,

Figure 2: Laser Trace3vs LaserTrace MoistureSpecifications

32 Tiger Optics Final DR.qxp 1/6/12 10:47 Page 34

Page 35: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

Issue 2 2012 www.siliconsemiconductor.net 35

moisture � measurement

Referencesi. Grove, Andrew (2000, June). Harvard International Conference on Internet and Society 2000. Speech presented at HarvardUniversity, Cambridge, MA.ii. Brewer, P.J., Milton, M.J.T., Harris, P.M., Bell, S.A., Stevens, M., Scace, G., Abe, H., and Mackrodt, P., 2011, EURAMET1002:International Comparability in Measurements of Trace Water Vapour. Middlesex, UK: National Physical Laboratory

UK), and Physikalisch-Technische Bundesanstalt(PTB, Germany). The multi-year, multinational studydetermined that the deviation of Tiger’s LaserTraceanalyzer was less than two percent (2%) over thethree (3) year period in the entire range of 10 ppb –2 ppm.

LaserTrace 3While the semiconductor industry has long relied onthe LaserTrace system for absolute measurements,shrinking geometries on the wafer have resulted ineven tighter controls being placed on the purity ofgases used in the semiconductor manufacturingprocess. As some fabs set alarm limits for moistureas low as 500 ppt, the semiconductor industry isnow requiring even lower LDLs. Once again, TigerOptics has responded to the industry’s needs.

At Semicon West 2011, Tiger Optics introduced theLaserTrace 3, for which the LDLs of mostcontaminants and gas matrices have been cut inhalf. For moisture in helium, the LDL is now anastonishingly low 100 ppt (Figure 3). Theachievement is one of the reasons that the TigerOptics’ LaserTrace 3 won the prestigious GoldenGas Award for 2012 from Gases andInstrumentation International Magazine in the GasAnalysis and Detection category.

In addition to the dramatic reduction in the LDL, theengineering team at Tiger Optics has increased thespeed of response for a multi-channel LaserTrace 3by a factor of more than 2.5x. The end user can beassured that the LaserTrace 3 will display updatedmoisture concentrations on each channel every twoseconds. This dramatic improvement in the LDL andthe speed of response is the result of a tremendousamount of work on both the hardware and thesoftware of the system. In keeping with Tiger’sphilosophy of focusing on the needs of thecustomer, existing LaserTrace users are able toupgrade the hardware and the software of theirsystems to achieve the performance of theLaserTrace 3.

LaserTrace 3xWhile the LaserTrace 3 (coupled with the associatedmoisture and/or oxygen, etc. measurement cells) isfocused on measuring the contamination levels ofthe bulk gases as they enter the fab, the LaserTrace3 platform is also utilized to monitor the moisturelevels in the exhaust gases of low temperatureepitaxial process tools from manufacturers such asApplied Materials, Inc., and ASM International N.V.

I’m a great believer in particularly being

alert to changes that change something,

anything, by an order of magnitude

Andrew Grove, former CEO, Intel Corporation

Fig 3

In order to perform the required moisturemeasurements at pressure levels down to 50 torr (orbelow), Tiger uses a reduced-pressure or Episensor. Initially, the industry needed only two Episensors to be coupled to a single LaserTrace 3electronics module so that the exhausts from a two-chamber epi system could be monitoredindependently. Subsequent requests to also monitorthe moisture levels within the transfer chamberrequired a redesign of the electronics module.

According, Tiger Optics developed its LaserTrace3x, to permit monitoring the transfer chamber atreduced pressures while also monitoring each ofthe tool’s epi exhausts. Tool owners can monitor formoisture in these critical areas after preventivemaintenance is performed on the tool. The result isthat the tool can now be brought back on-line whenthe moisture levels are low enough to insure thatthe product will not be impacted due to moisturecontamination. The savings from the reduceddowntime of the tool, along with a reduction in thenumber of wafers that might need to be scrappeddue to moisture contamination, is sure to bringabout a high return on investment (ROI).

Working in TandemThe semiconductor industry, having set the goal toproduce 14nm nodes and 450mm wafers by 2015,can only intensify its strenuous effortsto control the quality of theinputs and themanufacturing processitself. With the LaserTrace3 insuring the quality ofthe input gases and theLaserTrace 3x insuringthe quality of the process,the hard-working fabsmay continue to score—by orders of magnitude—improvementsupon previous generations of product.

© 2012 Angel Business Communications. Permission required.

32 Tiger Optics Final DR.qxp 1/6/12 10:47 Page 35

Page 36: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

36 www.siliconsemiconductor.net Vol 34 Issue 2

manufacturing � 3D stacking

Novel 3D integration process flow:backside ‘soft’ via revealImec has been working on a via-middle through-Si-via (TSV) approachto 3D stacking. This method is new to industry as it allows for a ‘reveal’ ofTSV contacts by using a Si-etch process.

In the new 3D integration flow, a TSV contact is buried in thewafer during front-side processing. After completion of the

wafer processing, the wafer is thinned and the bottom side of theTSV contacts are ‘revealed’ in order to contact to a next layer of a3D stack.

This process is novel to IC manufacturing and has to beperformed with great care, in order not to damage the devices. Inthe past year, great progress has been made with respect to thewafer-support system for handling 300mm wafers, thinned downto 50µm thickness. A total thickness variation (TTV) of the thinnedwafer of less than 2µm has been achieved. Key step in thisprocess is the bonding of the device wafer to a carrier wafer, priorto wafer thinning, by using a temporary adhesive. This material isstable during the subsequent process steps, but still allows forroom temperature debonding of the thinned wafer uponcompletion of backside processing.

After wafer thinning, the backsides of the TSVs are successfully‘revealed’ using a Si-etch process. Both wet and dry processescan be used. Chemical mechanical polishing (CMP) of the Cu/Sisurface is not used as it results in a high risk of contamination andhas a high cost-of-ownership. An effective via reveal process hasbeen obtained using wet etching, exposing the TSVs uniformly onthe wafer backside. In this stage, the TSV nails are still protectedby their barrier and liner layers. The next step in the backsideprocess consists of applying a backside passivation layer (thisavoids Cu diffusion in the thin Si wafer) and selective opening of

the liner layers on the TSV. This is achieved using a maskless,self-aligned dry etch-process. After this ‘soft’ via reveal process, further interconnect layers andbump interconnects can be processed on the wafer backside.The process is then completed by debonding the thin wafer fromthe carrier wafer and transferring the thin wafer to a dicing tape.This step can now be performed at room temperature. Thisprocess flow was successfully applied to a 300mm diameter waferwith active high-k/metal gate CMOS circuits.

© 2012 Angel Business Communications. Permission required.

The ‘soft’ via reveal process with Si3N4 backside passivation.

Backside ‘soft via reveal’ processWafers are thinned down to 50µm thickness, with a totalthickness variation of less than 2µm

38 IMEC vFinal DR.qxp 1/6/12 10:48 Page 36

Page 37: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

The Power of [x]

The Power of [Connection]

SEMICON Singapore 2012April 24-26Marina Bay Sands, Singaporewww.semiconsingapore.org

SEMICON Russia 2012May 15-16ExpoCenter, Moscow, Russiawww.semiconrussia.org

SEMICON West 2012July 10-12Moscone Center, San Francisco, Californiawww.semiconwest.org

SOLARCON India 2012September 3-5Bangalore, Indiawww.solarconindia.org

SEMICON Taiwan 2012September 5-7TWTC Nangang Hall, Taipei, Taiwanwww.semicontaiwan.org

PV Taiwan 2012October 3-5TWTC Hall 1, Taipei, Taiwan, www.pvtaiwan.com

SEMICON Europa 2012October 9-11Dresden, Germanywww.semiconeuropa.org

PV Japan 2012December 3-5Chiba, Japanwww.pvjapan.org

SEMICON Japan 2012December 3-5Chiba, Japanwww.semiconjapan.org

Upcoming SEMI Expositions

IdeasCollaboration

Technology

InnovationInspiration

EngagementNetworking

SEMI expositions have the [X] factor. From across the

microelectronics supply chain—from materials to final

manufacturing, from semiconductors to solar/PV to

emerging markets—you’ll connect with the companies,

people, products, and ideas that drive today’s innovations

and shape tomorrow’s technologies. Whatever your need,

whatever your challenge, you’ll find the answers and

solutions at a SEMI exposition.

For the complete schedule of 2012 SEMI Expositions, visit www.semi.org/events

SEMIExpositions

SemiconductorS • Solar/PV

ledS • memS • FPd

PlaStic electronicS

emerging marketS

Page 38: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

38 www.siliconsemiconductor.net Issue 2 2012

corporate partners � directory

Equipment

Gas Handling & MFC

Gas (High Purity) Process Control

Chemical Pumps Fan Filter Units

Furnaces

Furnaces

Automation & Wafer Handling Connection Solutions Furnaces

Cleanrooms

Furnaces

Corporate Partnerts v1.qxp 30/5/12 15:44 Page 38

Page 39: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

Issue 2 2012 www.siliconsemiconductor.net 39

corporate partners � directory

Wafer Level Packaging

Wet BenchesWet Benches

Material Processing

To promote your Products and Services cost effectively to

all our buyers and specifiers, take advantage of the new

Corporate Partners section.

A Corporate Partners entry is effective, and an easy way of

promoting your products and services for the full year.

Additionally your entry will be seen at major exhibitions and

events throughout the year.

For further information, please contact: Shehzad Munshi

T: +44 (0)1923 690 215 E: [email protected]

Entries in Corporate Partners @ £1,500 per heading per year.

Liquid Nitrogen Piping

RF-/DC-/MF-Power Supplies

Semiconductor Equipment

Solder Rework

Vacuum Equipment

Equipment

Corporate Partnerts v1.qxp 30/5/12 15:44 Page 39

Page 40: 00 Front Cover FINAL DRIssue 2 2012  3 editorialview Editor-in-Chief David Ridsdale david.ridsdale@angelbc.com +44 (0)1923 690200 Consultant Editor

Field-proven, ultra-thin wafer handling solution ˛ up to 300 mm

Integrity-assured bonding and de-bonding of high-topography wafers

Adhesive stability at high temperatures and defect-free removal ˛ no additional cleaning tool required

Solutions for 3D Integration and TSV

Flexible options ˛ glass or silicon carriers, immediate carrier re-use