14
电电电电 EDA 电电 电电电电电电电电电 电电电电 EDA 电电电电电电电电电电电电电电电电电电 电电电 电电电电电电电电电电 电 。一, 电电电电电电电电电电电电电电电 电电电电电 电电电电电电电电电电电电 电电电电电电电电 电电电电电电电电电电电电电电电电 电电电电 电电电电电电电电电电电电电EDA 电电电电电 电电电 电电电电电电电电电电电电电电 VHDL 电电电电电电电电电电电电电电

电子电路 EDA 实验

  • Upload
    riona

  • View
    73

  • Download
    2

Embed Size (px)

DESCRIPTION

电子电路 EDA 实验. 实验目的和基本要求 电子电路 EDA 技术是电子信息工程专业的一 门专业选修课。它是一门实践性很强的课程,所 以实验是不可缺少的重要教学环节。实验课的目 的和基本要求是使学生了解一种通过软件的方法 来高效地完成硬件设计的计算机技术,初步掌握 电子电路自顶向下的设计方法、 EDA 设计流程及 其工具,会用原理图输入和硬件描述语言 VHDL 设计逻辑电路及数子电路小系统。. 电子电路 EDA 实验. 二、实验设备 微型计算机 EDA 实验箱 ZYE1502C. - PowerPoint PPT Presentation

Citation preview

Page 1: 电子电路 EDA 实验

电子电路 EDA 实验实验目的和基本要求

电子电路 EDA 技术是电子信息工程专业的一门专业选修课。它是一门实践性很强的课程,所以实验是不可缺少的重要教学环节。实验课的目的和基本要求是使学生了解一种通过软件的方法来高效地完成硬件设计的计算机技术,初步掌握电子电路自顶向下的设计方法、 EDA 设计流程及其工具,会用原理图输入和硬件描述语言 VHDL设计逻辑电路及数子电路小系统。

Page 2: 电子电路 EDA 实验

电子电路 EDA 实验二、实验设备 微型计算机 EDA 实验箱 ZYE1502C

Page 3: 电子电路 EDA 实验

实验一 原理图输入设计组合逻辑电路一、实验目的 通过简单组合逻辑电路的设计,初步了解 CPLD 设计的全过程和相关 EDA 软件 MAX+plusII 的使用。掌握原理图输入的设计方法和流程,学会对实验开发系统中的CPLD 的编程下载、硬件测试。

二、实验内容 1. 用基本的门电路设计一个 5 人表决决电路,参加表决者 5 人,同意为 1 ,不同意为 0 ,同意者过半数表决通过,绿指示灯亮,不通过则红指示灯亮。

Page 4: 电子电路 EDA 实验

实验一 原理图输入设计组合逻辑电路

2. 按照利用 MAX+plusII 软件设计数字电路的流程,完成原理图输入、编译、仿真、引脚锁定、下载及硬件测试。 3. 实验连线: 5 个输入端 D1 、 D2 、 D3 、 D4 、

D5 所锁定的 CPLD 管脚接 5 个拨位开关, 2 个输出端信号管脚

接相颜色的 LED 灯。

Page 5: 电子电路 EDA 实验

实验二 原理图输入设计时序逻辑电路

一、实验目的 通过一个四位异步二进制加法计数器的设计,掌握CPLD 设计的全过程和相关 EDA 软件 MAX+plusII 的使用。掌握层次化设计的方法。

二、实验内容 1. 用 D 触发器和门电路设计两位异步二进制加法计数器,完成原理图输入、编译、仿真和包装元件入库。

Page 6: 电子电路 EDA 实验

实验二 原理图输入设计时序逻辑电路

2. 建立一个更高的原理图输入层次,利用以上获得的两位异步二进制加法计数器完成四位异步二进制加法计数器的设计,并完成编译、仿真、引脚锁定、下载及硬件测试。 3. 实验连线:清零端 Reset 接按键开关, clk 时钟

源( clk < 1Hz ),四位输出 D3 、 D2 、 D1 、 D0 接四个

LED 灯。

Page 7: 电子电路 EDA 实验

实验三 文本输入设计逻辑门电路一、实验目的 熟悉 MAX+plusII 的文本设计全过程,掌握简单逻辑电路的 VHDL 描述、文本输入、仿真和硬件下载测试。

二、实验内容 1. 使用 VHDL 中定义的逻辑操作符,设计一个能同时实现与门、或门、与非门、或非门、异或门及反相器的基本门电路。要求输入端口为 A 、 B 。输出端口为 YAND

YOR 、 YNAND 、 YNOR 、 YXOR 、 YNOT 。 2. 完成文本输入、编译、仿真、引脚锁定、下载及硬件测试。

Page 8: 电子电路 EDA 实验

实验四 扫描显示电路的驱动

一、实验目的 1. 了解八位七段 LED 数码管扫描显示的原理。 2. 学习同时使用文本输入和原理图输入设计数字电路方法。

二、实验内容 1. 使用 VHDL 的 CASE 语句,设计七段译码器程序,并完成文本输入、编译、仿真。

Page 9: 电子电路 EDA 实验

实验四 扫描显示电路的驱动

2. 打开图形编辑窗,从宏功能元件库中调出 74193 ,设计地址产生器。调出己设计好的七段译码器元件,按图示电路连接,组成扫描显示电路并完成原理图输入、编译、仿真、引脚锁定、下载及硬件测试。下载完毕后,数码管循环显示“ F ~ 0” 。

Page 10: 电子电路 EDA 实验

实验四 扫描显示电路的驱动

3. 实验连线 清零信号 RESET 所锁定的管脚接按键开关。 时钟 CLK 接时钟源( F=1Hz 左右)。 地址信号 SEL2 、 SEL1 、 SEL0 锁定的管脚同 P37 处的连接线孔 SEL2 、 SEL1 、 SEL0 相连。 代表 7 段码驱动信写 A 、 B 、 C 、 D 、 E 、 F 、 G 锁定的管脚同 PCLK 处的连接线孔 A 、 B 、 C 、 D 、 E 、 F 、 G

连。

Page 11: 电子电路 EDA 实验

实验五 交通灯控制器设计一、实验目的 了解较复杂数字系统的设计,学习 VHDL 的多层次设计方法。二、实验内容 依据交通常规“红灯停,绿灯行,黄灯提醒”,按表所示要求,完成交通灯控制器的 VHDL 多层次描述。要求将程序分成几个基本模块,首先对基本模块逐一完成文本输入、编译、仿真。然后输入顶层程序,并完成编译、仿真、引脚锁定、下载

Page 12: 电子电路 EDA 实验

实验五 交通灯控制器设计附:红、绿、黄灯和倒计时显示器的平面图

Page 13: 电子电路 EDA 实验

实验六 多波形信号发生器设计

一、实验目的 1. 学习用 VHDL 设计波形发生器的方法,了解 CPLD

与 D/A 的接口技术。 2. 学习同时使用文本输入和原理图输入设计数字电路方法。

二、实验内容 1. 依据以下要求完成多波形信号发生器各单元电路的VHDL 设计,即完成文本输入、编译、仿真。

Page 14: 电子电路 EDA 实验

实验六 多波形信号发生器设计设计要求: 输出信号波形: 正向锯齿波、反向锯齿波、三角波、递增阶梯波。

输出频率: F0 F0/2 F0/5 ( F0 自定) 2. 用图形输入法完成顶层电路设计,并完成编译、

仿真、下载。 3. 将 CPLD 与 D/A 连接,进行硬件测试。