Click here to load reader

第 11 章 EDA 工具软件接口

  • Upload
    duc

  • View
    169

  • Download
    0

Embed Size (px)

DESCRIPTION

EDA 技术 实用教程. 第 11 章 EDA 工具软件接口. 11.1 EDA 软件接口流程. VHDL 文本编辑. VHDL 仿真. VHDL 文本编辑 SYNPLIFY FPGAEXPRESS FPGA COMPILERII LEONARDO ………. 综合. 1 、行为 仿真 2 、功能 仿真 3 、 时序仿真. FPGA/CPLD 适配. 逻辑综合器. 结构综合器. FPGA/CPLD 器件和电路系统. 时序与功能 门级仿真. FPGA/CPLD 编程下载. 图 11-1 EDA 工程接口流程. - PowerPoint PPT Presentation

Citation preview

  • 11 EDAEDA

    VHDLFPGA/CPLDFPGA/CPLDFPGA/CPLD12311.1 EDA VHDLSYNPLIFYFPGAEXPRESSFPGA COMPILERIILEONARDO

    VHDL11-1 EDA

    VHDLFPGA/CPLDFPGA/CPLDFPGA/CPLD12311.1 EDA VHDLSYNPLIFYFPGAEXPRESSFPGA COMPILERIILEONARDO

    VHDL11-1 EDA

    11.2 SynplifyMAX+plusII 11-2 Synplify Pro1.

    Tcl

    11.2 SynplifyMAX+plusII 11-3 Synplify 1.

    3. 4. 5. 2. 11.2 SynplifyMAX+plusII

    11-1library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt4 is port (d : in std_logic_vector (3 downto 0); ld, ce, clk, rst : in std_logic; q : out std_logic_vector (3 downto 0));end cnt4;architecture behave of cnt4 is signal count : std_logic_vector (3 downto 0);beginprocess (clk, rst) begin if rst = '1' then count '0'); elsif rising_edge(clk) then if ld = '1' then count