10
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS 1 BIST Methodology, Architecture and Circuits for Pre-Bond TSV Testing in 3D Stacking IC Systems Chao Wang, Member, IEEE, Jun Zhou, Senior Member, IEEE, Roshan Weerasekera, Senior Member, IEEE, Bin Zhao, Xin Liu, Member, IEEE, Philippe Royannez, Senior Member, IEEE, and Minkyu Je, Senior Member, IEEE Abstract—This paper presents a built-in self test (BIST) method- ology, architecture and circuits for testing Through Silicon Vias (TSVs) in 3D-IC systems prior to stacking in order to improve 3D-IC yield and reduce overall test cost. A scan switch network (SSN) architecture is proposed to perform pre-bond TSV scan testing in test mode, and operate as functional circuit in functional mode, respectively. In the SSN, novel test structures and circuits are proposed to address pre-bond TSV test accessibility issue and perform stuck-at-fault tests and TSV tests. By exploiting the inherent RC delay characteristics of TSV, a novel delay-based TSV test method is also proposed to map the variation of TSV-to-sub- strate resistance due to TSV defects to a test path delay change. Compared with state-of-art methods, the proposed BIST method- ology addresses pre-bond TSV testing with a low-overhead integrated test solution which is compatible to existing 2D-IC testing method. The proposed BIST architecture and method can be implemented by standard DFT design ow and integrated into a unied pre-bond TSV test ow. Experiment results and robustness analysis are presented to verify the effectiveness of the proposed self-test methodology, architecture, and circuits. Index Terms—BIST, DFT, pre-bond TSV testing, TSV, 3D IC. I. INTRODUCTION E MERGING AS A promising mainstream integration technology, three-dimensional integrated circuit (3D IC) offers true heterogeneous system-on-chip (SoC) integration through stacking and connecting individual two-dimensional integrated circuit (2D IC) die layers vertically by through silicon via (TSV) [1], [2]. The TSV-based 3D stacking tech- nology promises better performances of higher bandwidth, shorter interconnect delay, reduced power, and smaller form factor at lower cost. However, the 3D-IC yield is still one key obstacle of many issues for industry adoption of the TSV-based 3D-IC technology. In current TSV technology, the TSV failure rate is relatively high varying from 0.005% to 5%, which affects the nal 3D-IC yield exponentially with the number of dies in a stack [3], [4]. Inevitably, TSV testing becomes a critical role in pre-bond die tests to improve overall 3D-IC yield by detecting TSV defects, facilitating failure diagnosis and process improvement prior to die-stacking. Manuscript received March 26, 2014; revised August 04, 2014; accepted Au- gust 28, 2014. This paper was recommended by Associate Editor F. Clermidy. C. Wang, J. Zhou, R. Weerasekera, B. Zhao, and X. Liu are with the Institute of Microelectronics, Singapore Science Park II, Singapore 117685 (e-mail: [email protected]; [email protected]; [email protected]). P. Royannez was with the Institute of Microelectronics, Singapore Science Park II, Singapore 117685. He is now with Intel Corporation, Singapore 239922. M. Je was with the Institute of Microelectronics, Singapore Science Park II, Singapore, 117685. He is now with Daegu Gyeongbuk Institute of Science & Technology (DGIST), Daegu 711-873, Korea (e-mail: [email protected]). Color versions of one or more of the gures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identier 10.1109/TCSI.2014.2354752 However, pre-bond TSV testing is very challenging. It is not only due to new defect types during TSV formation and the failure mechanisms which should be well understood and ad- dressed by introducing new fault models, but also because of current wafer-level testing cannot deal with a large number of very small TSVs on a thinned wafer at affordable cost [4], [5]. Hence, built-in self test (BIST) method with low hardware over- head becomes a potential good solution to achieve both test-ef- fectiveness and cost-effectiveness. Preliminary test cost anal- ysis based on the cost models proposed in [3] and [6] shows that in a wide-IO 3D-IC system consisting of 5 bare dies with 1000 TSVs per die and TSV failure rate of 0.01%, pre-bond TSV testing can signicantly reduce the overall die cost by up to 20%. In order to minimize overall 3D IC cost, a 2D-IC com- patible scan chain based design-for-testability (DFT) method- ology with BIST test structures is preferable as the nal 3D-IC system is actually a stack of multiple 2D-IC dies. Recently, several pre-bond TSV self test structures and methods have been proposed for detecting TSV defects [7]–[11]. In [7], a charge-sharing method is presented to detect TSV to substrate capacitance variation. Sense amplication (SA) based comparison with reference voltages is applied to measure the sense node voltage changes due to the TSV capacitance variation. Conventional scan ip-ops (FFs) are used for capture and collection of sensing results. Two more self-test methods have been evaluated in [8]. Firstly, a leakage sensor test circuit is proposed to detect short defects by mea- suring TSV to substrate resistance. This approach utilizes a comparator to compare the leakage due to pin-hole shorts against a threshold. Secondly, a capacitive bridge method is adopted to test the TSV capacitance in [8]. An on-chip 2-GHz clock is generated and ltered to compare the TSV effective capacitor with a reference capacitor. A PMOS transistor is used as a comparator to sense the voltage differences of the two capacitors in the bridge. Another self-test method is proposed in [9] to use voltage dividing technique for detecting TSV short defects. This approach utilizes a test circuit consisting of a TSV-test-inverter (TTI) and a SA-based FF to measure the TSV-to-substrate resistance change. The SA-based FF is tuned to a certain range of acceptable voltages divided by the TSV short resistor and the PMOS transistor in the TTI. Similar to other methods in [7], [8], this method also utilizes conventional scan chain structure to capture the test results only. In [10], [11], ring oscillator (RO) based test methods are proposed to detect the variation of effective TSV-to-substrate capacitance or resistance due to TSV manufacturing defects, which may result in detectable RO frequency or relative frequency changes. These existing methods still have a few issues. First, none of the test methods completely addresses TSV test controlla- bility issue. They only reuse existing conventional scan chain 1549-8328 © 2014 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

BIST Methodology, Architecture and Circuits for Pre-Bond TSV Testing in 3D Stacking IC Systems

Embed Size (px)

Citation preview

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS 1

BIST Methodology, Architecture and Circuits forPre-Bond TSV Testing in 3D Stacking IC SystemsChao Wang, Member, IEEE, Jun Zhou, Senior Member, IEEE, Roshan Weerasekera, Senior Member, IEEE,

Bin Zhao, Xin Liu, Member, IEEE, Philippe Royannez, Senior Member, IEEE, and Minkyu Je, Senior Member, IEEE

Abstract—This paper presents a built-in self test (BIST)method-ology, architecture and circuits for testing Through Silicon Vias(TSVs) in 3D-IC systems prior to stacking in order to improve3D-IC yield and reduce overall test cost. A scan switch network(SSN) architecture is proposed to perform pre-bond TSV scantesting in test mode, and operate as functional circuit in functionalmode, respectively. In the SSN, novel test structures and circuitsare proposed to address pre-bond TSV test accessibility issueand perform stuck-at-fault tests and TSV tests. By exploiting theinherent RC delay characteristics of TSV, a novel delay-based TSVtest method is also proposed to map the variation of TSV-to-sub-strate resistance due to TSV defects to a test path delay change.Compared with state-of-art methods, the proposed BIST method-ology addresses pre-bond TSV testing with a low-overheadintegrated test solution which is compatible to existing 2D-ICtesting method. The proposed BIST architecture and method canbe implemented by standard DFT design flow and integratedinto a unified pre-bond TSV test flow. Experiment results androbustness analysis are presented to verify the effectiveness of theproposed self-test methodology, architecture, and circuits.

Index Terms—BIST, DFT, pre-bond TSV testing, TSV, 3D IC.

I. INTRODUCTION

E MERGING AS A promising mainstream integrationtechnology, three-dimensional integrated circuit (3D IC)

offers true heterogeneous system-on-chip (SoC) integrationthrough stacking and connecting individual two-dimensionalintegrated circuit (2D IC) die layers vertically by throughsilicon via (TSV) [1], [2]. The TSV-based 3D stacking tech-nology promises better performances of higher bandwidth,shorter interconnect delay, reduced power, and smaller formfactor at lower cost. However, the 3D-IC yield is still one keyobstacle of many issues for industry adoption of the TSV-based3D-IC technology. In current TSV technology, the TSV failurerate is relatively high varying from 0.005% to 5%, whichaffects the final 3D-IC yield exponentially with the numberof dies in a stack [3], [4]. Inevitably, TSV testing becomesa critical role in pre-bond die tests to improve overall 3D-ICyield by detecting TSV defects, facilitating failure diagnosisand process improvement prior to die-stacking.

Manuscript received March 26, 2014; revised August 04, 2014; accepted Au-gust 28, 2014. This paper was recommended by Associate Editor F. Clermidy.C. Wang, J. Zhou, R. Weerasekera, B. Zhao, and X. Liu are with

the Institute of Microelectronics, Singapore Science Park II, Singapore117685 (e-mail: [email protected]; [email protected];[email protected]).P. Royannez was with the Institute of Microelectronics, Singapore Science

Park II, Singapore 117685. He is nowwith Intel Corporation, Singapore 239922.M. Je was with the Institute of Microelectronics, Singapore Science Park II,

Singapore, 117685. He is now with Daegu Gyeongbuk Institute of Science &Technology (DGIST), Daegu 711-873, Korea (e-mail: [email protected]).Color versions of one or more of the figures in this paper are available online

at http://ieeexplore.ieee.org.Digital Object Identifier 10.1109/TCSI.2014.2354752

However, pre-bond TSV testing is very challenging. It is notonly due to new defect types during TSV formation and thefailure mechanisms which should be well understood and ad-dressed by introducing new fault models, but also because ofcurrent wafer-level testing cannot deal with a large number ofvery small TSVs on a thinned wafer at affordable cost [4], [5].Hence, built-in self test (BIST) method with low hardware over-head becomes a potential good solution to achieve both test-ef-fectiveness and cost-effectiveness. Preliminary test cost anal-ysis based on the cost models proposed in [3] and [6] showsthat in a wide-IO 3D-IC system consisting of 5 bare dies with1000 TSVs per die and TSV failure rate of 0.01%, pre-bondTSV testing can significantly reduce the overall die cost by upto 20%. In order to minimize overall 3D IC cost, a 2D-IC com-patible scan chain based design-for-testability (DFT) method-ology with BIST test structures is preferable as the final 3D-ICsystem is actually a stack of multiple 2D-IC dies.Recently, several pre-bond TSV self test structures and

methods have been proposed for detecting TSV defects[7]–[11]. In [7], a charge-sharing method is presented to detectTSV to substrate capacitance variation. Sense amplification(SA) based comparison with reference voltages is appliedto measure the sense node voltage changes due to the TSVcapacitance variation. Conventional scan flip-flops (FFs) areused for capture and collection of sensing results. Two moreself-test methods have been evaluated in [8]. Firstly, a leakagesensor test circuit is proposed to detect short defects by mea-suring TSV to substrate resistance. This approach utilizes acomparator to compare the leakage due to pin-hole shortsagainst a threshold. Secondly, a capacitive bridge method isadopted to test the TSV capacitance in [8]. An on-chip 2-GHzclock is generated and filtered to compare the TSV effectivecapacitor with a reference capacitor. A PMOS transistor is usedas a comparator to sense the voltage differences of the twocapacitors in the bridge. Another self-test method is proposedin [9] to use voltage dividing technique for detecting TSVshort defects. This approach utilizes a test circuit consisting ofa TSV-test-inverter (TTI) and a SA-based FF to measure theTSV-to-substrate resistance change. The SA-based FF is tunedto a certain range of acceptable voltages divided by the TSVshort resistor and the PMOS transistor in the TTI. Similar toother methods in [7], [8], this method also utilizes conventionalscan chain structure to capture the test results only. In [10],[11], ring oscillator (RO) based test methods are proposed todetect the variation of effective TSV-to-substrate capacitance orresistance due to TSV manufacturing defects, which may resultin detectable RO frequency or relative frequency changes.These existing methods still have a few issues. First, none

of the test methods completely addresses TSV test controlla-bility issue. They only reuse existing conventional scan chain

1549-8328 © 2014 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission.See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

2 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS

Fig. 1. (a) Conductor open defect and (b) insulator short defect during TSVfabrication process.

to capture test results. To the best of our knowledge, there is noprior art to provide effective test structure able to feed differentcombinations of test patterns to pre-bond TSVs for facilitatingfailure identification, diagnosis and debugging. Second, the testoverhead in [7]–[9] is high due to the low reusability of the testhardware. The actual hardware overhead is not negligible andlikely to consume significant silicon area especially for a largenumber of TSVs under test. This is because most test circuitry,e.g., sense amplifiers, leakage sensors, and capacitive bridge,are hardly to be used or is difficult to optimize for reuse in func-tional operation. In addition, self-testability and calibration ofthe proposed test structures, is a very challenging issue [12]. Atlast, most of the existing methods haven’t discussed a completeBIST methodology covering from BIST architecture and struc-tures, test methods, defect models, DFT flow, and test flow.We extend our work in [13] to address the above issues.

We propose self-test methodology and architecture with loweroverhead enabled by good reusability, which can performpre-bond TSV tests in a unified test scheme. A new scan-basedBIST architecture consisting of novel TSV scan FFs and TSVI/O macros is proposed to enable scan chain test, stuck-atfault (SAF) tests and TSV tests with good test accessibility,reusability and self-testability. A delay-based test method isalso proposed to address near-short TSV tests. The proposedBIST architecture can implement different TSV test methodsincluding the proposed delay-based method and other methods,e.g., the one in [9], by integrating the respective test moduleinto the TSV I/O modules. The proposed BIST architecture andmethod can be implemented by a standard DFT design flowand into a unified BIST test flow. Experiment and analysis isperformed to demonstrate the test effectiveness of the pre-bondTSV BIST methodology and the robustness of the proposeddelay-based near-short TSV test method.The rest of this paper is organized as follows. Section II dis-

cusses the electrical characteristics and models of major TSVdefects. Section III presents the new scan-based BIST architec-ture and the proposed delay-based near-short TSV test method.In Section IV discusses the DFT design flow and BIST test flow.Section V presents experiment results and robustness analysisto verify the proposed BIST architecture and method. Finally,conclusion is given in Section VI.

II. ELECTRICAL EFFECT FOR TSV DEFECTS

During TSV fabrication, new manufacturing defects mightbe created [4], [5]. From a perspective of electrical effect, mostTSV defects can be categorized into two major groups: con-ductor open defects and insulator short defects [7]. As shown inFig. 1, micro voids, under-fill, rupture, and break in TSV fillingwould result in open defects. Pinholes or impurity in TSV in-sulator layer, and ineffective removal of seed layer might leadto short defects. Conductor defects induce an open failure or a

Fig. 2. Models for a good TSV and a bad TSV, and a TSV delay path.

TABLE ICOMPARISON OF TSV AND CMOS TECHNOLOGIES

resistive path, while insulator short defects might form a shortpath from TSV to silicon substrate. Assuming the substrate isgrounded, such kind of resistive short defects creates slow tran-sition time (a transition/delay fault) or degraded voltage swing(a stuck-at-0/SA0 fault). As a pre-bond TSV has one floatingend in the substrate, it is difficult to detect the open defects di-rectly. In this study, we focus to detect strong short defects andnear-short defects, which can bemodeled as SA0 fault and delayfault, respectively.As depicted in Fig. 2(a), a good TSV can be modeled by a

simplified RC structure, in which is the effective TSV-to-substrate capacitance and each is half of the TSV effec-tive resistance. Similarly, a short TSV can be modeled by a RCnetwork with an additional short resistance to rep-resent a short resistive path to substrate due to insulator shortdefects, as depicted in Fig. 2(b). As TSV technology does notscale with CMOS technology as shown in Table I, a TSV canbe regarded as a big wire with a large capacitance compared tologic gates. As a result, TSV will dominate the load capacitanceof a gate which is driving a TSV. Thus, the TSV contributessignificantly to the gate delay. Fig. 2(c) describes a TSV delaypath consisting of a TSV and a driving buffer. Since the PMOSequivalent on-resistance of the buffer is in range, the TSVeffective resistance is negligible and can be removed. Hence, theTSV model can be simplified as a parallel structure consistingof a TSV-to-substrate capacitance and a TSV-to-sub-strate resistance . Typically, a gate delay iscontributed by intrinsic delay and load dependentdelay, as following:

(1)

in which is the load multiplier of load dependent delay,and is the output load capacitance. Since the intrinsic ca-pacitance of a typical buffer is a few fFs, the total delay is ac-tually dominated by the load dependent delay, which is con-tributed by and TSV capacitance ranging fromtens of fF to hundreds of fF.As discussed previously, strong short defects will create SA0

faults, while near-short defects will lead to transition/delayfaults. Hence, a strong short defect will stick the output ofbuffer to zero. A near-short defect will increase path delaysignificantly due to the changes of TSV-to-substrate resistance.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

WANG et al.: BIST METHODOLOGY, ARCHITECTURE AND CIRCUITS FOR PRE-BOND TSV TESTING IN 3D STACKING IC SYSTEMS 3

Fig. 3. Delay effect due to short TSV defects.

By proper sizing of the PMOS transistor in the buffer with aproper factor (e.g., 4 6 ns/pF for a buffer in a 0.18CMOS technology), the variation of TSV-to-substrate resis-tance can be mapped to the delay changes of the buffer-TSVpath, as shown in Fig. 3. In this way, a path-delay based teststrategy can be employed to detect near-short TSV defects withproper self-test structures and pre-defined pass/fail criteria.In the next section, a scan-based BIST architecture and test

structures are presented to enable pre-bond TSV testing withgood test accessibility and low hardware overhead. A delay-based test method is also presented to detect near-short TSVdefects with novel test structures.

III. PRE-BOND TSV BIST ARCHITECTURE & STRUCTURES

A. SSN-Based TSV BIST Architecture

As discussed previously, pre-bond TSV has a single end ac-cessible, while the other end is floating in the substrate. To ad-dress the test accessibility issues, new test structures should pro-vide both observe points and control points to the single-endTSVs under test. In this study, a novel test structure called I/O(Input/Output) TSV macro is proposed to form a TSV test path,which provides test accessibility points for single-end TSVs.As depicted Fig. 4(a), the I/O TSV macro consists of a pair oftri-state buffers and a TSV. Similar to digital I/O pad, duringnormal operation, an I/O TSV macro can be configured as aninput or output TSV cell. When test mode is enabled, the macrocan be configured by enable signals (LD and CPT) to form aTSV test path, as shown in Fig. 4(a). The two tri-state buffers,called load buffer and capture buffer, provide control and ob-serve test points, respectively. In addition, the I/O TSV macrocan also be used to implement different TSV test methods byincorporating the respective test structure (e.g., the test struc-tures in [7]–[9]) into the TSV test path with extra multiplexers(MUXs) and switches.In order to facilitate 2-D compatible scan testing, a new scan

FF is proposed to enable TSV scan tests. The TSV scan FF con-sists of a conventional scan FF and an extra MUX, as depictedin Fig. 4(b). As pre-bond TSV is not in a complete functionalcircuit path, the data input port (DI) of a conventional scan FFcannot directly be used to capture the test result from the TSV.Thus, aMUX is added to provide an extra port (CI) dedicated forTSV scan capture operation, while the conventional scan port(SI) is only used for TSV scan shift operation. Similar to the con-ventional scan FF, during normal operation with , theTSV scan FFs operate as normal registers in functional paths.When test mode is enabled, the scan FFs will form a scan chain

Fig. 4. (a) I/O TSV macro and (b) TSV scan flip-flop.

Fig. 5. Block diagram of the proposed scan-based TSV test architecture.

for shifting test pattern and test results from SI ports, duringscan shift mode with . During scan load and capturemode with , test pattern will be loaded to the I/O TSVmacros, and the test result for each TSV will be captured by theCI port of the next subsequent scan FF from in the scan chain.If a TSV is in a combination logic path without an associatedFF directly connecting to the I/O TSV macro during functionalmode, the corresponding launch TSV scan FF of the logic pathcan be used or shared with logic scan tests. In this case, the TSVtest path is from the start of the logic path instead. Alternately, adedicated scan FF can also be created for the TSV during TSVtest mode, while it will be bypassed as an idle FF with an extraMUX in normal mode.Based on the proposed I/O TSV macro and TSV scan FF, a

new scan-based BIST architecture is proposed to performingpre-bond TSV self-tests, as depicted in Fig. 5. The scan-basedarchitecture consists of a BIST controller and a novel ScanSwitch Network (SSN). The SSN is comprised of a TSV scanFF chain and I/O TSV macro arrays. Controlled by a BISTcontroller, the SSN operates in normal mode and test mode, inwhich the SSN functions as inter-die I/O paths and performspre-bond TSV tests, respectively. In the test mode, the SSN canperform scan chain test, SAF scan tests including strong-shortTSV test, near-short, and open TSV tests. For each scan test,the SSN is configured to test scan shift in mode, test scanload and capture mode, and test scan shift out mode, which iscompatible to the conventional 2D-IC scan-based test method.As illustrated in Fig. 6, the proposed SSN-based scan test

architecture can operate in the following three major modes:(a) Functional mode: during this mode, the SSN is config-

ured as an inter-die I/O array with , , &, as shown in Fig. 6(a). The TSV macros

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

4 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS

are either operating as an output TSV cell to another2D-IC die (e.g., TSV1 and TSVn) or an input TSV cellfrom a neighboring die (e.g., TSV2). Correspondingly,the TSV scan FFs are either configured as an output TSVFF (e.g., SD1 and SDn), an input TSV FF (e.g., SD2),or a functional FF in the core logic (e.g., SD), to forma functional input path, a functional output path, or afunctional internal path, respectively.

(b) Test scan shift in/out mode: with , , &, the SSN functions as a scan shift chain

by disabling the functional path and scan capture path,while the I/O TSVmacros are isolated from the scan chainby disabling the tri-state buffers. As depicted in Fig. 6(b),from the scan shift path, test patterns can be shifted in tothe input ports of the TSV macros in scan shift in mode,while test results can be shifted out during scan shift outmode. We can shift in different combinations of test pat-terns, which are useful for detecting, locating, isolating,and identifying defects, such as crosstalk, coupling, orshort between TSVs.When a scan chain test is performed,only shifting test patterns in and out is performed to checkwhether the scan chain is free of defects prior to TSV scantests.

(c) Test scan load and capture mode: Fig. 6(c) describes howa test pattern is loaded into the respective TSVs, and howthe test response is captured, in test scan load and cap-ture mode. After a test scan shift in operation, the test pat-tern will be loaded into corresponding TSV in a scan loadcycle with , and . During a sub-sequent capture cycle with , the test response willbe captured from the TSV macro output by the CI port ofthe next subsequent FF.

In summary, the proposed scan-based BIST architecture en-ables 2D-IC compatible scan testing of pre-bond TSVs withgood test accessibility and low hardware overhead. The pro-posed SSN test circuitry including I/O TSV macros and TSVscan FFs can be reused for normal functional operation. In ad-dition, the TSV scan chain can be reused to form new scanstructures together with the scan FFs from neighboring dies forpost-bond tests after die-stacking. To perform special near-shortor open TSV tests, specific test modules can be integrated andenabled in the I/O TSV macros, which will be discussed in fol-lowing sections.

B. Delay-Based Short TSV Test Method

As discussed in Section II, variation of effective short TSVresistance can bemapped to a path delay and detected. As shownin Fig. 3, there is a grey area called near-short TSV region be-tween the good TSV region and short TSV region, in which theTSV path delay increases significantly as the effective TSV tosubstrate resistance reduces due to short TSV defects.In the short region, the node of a TSV is stuck to logic 0 due tostrong short defects. A signal to a strong short TSV cannot bepropagated to the output of the TSV path. The strong short TSVdefects can be directly detected by a SA0 test based on the pro-posed SSN-based BIST architecture. Although a signal mightbe propagated through a logic path with a TSV in the near-shortregion, the TSV suffers degraded performance, leakage powerconsumption, and poor noise margin.

Fig. 6. Block diagrams of the proposed scan-based TSV test architecture oper-ating in (a) functional mode, (b) scan shift modes, and (c) scan load and capturemode, respectively.

If a resistance threshold is pre-defined for badnear-short TSV, a delay-based charge and capture methodcan be utilized to detect near-short defects. Considering aTSV path consisting of a pre-bond TSV with andtwo tri-state buffers as shown in Fig. 4(a), the TSV pathdelay calculated with a pre-defined

is actually the propagation delay for a logic-1signal to pass through the TSV path. Giving a charging time

larger than to charge the TSVnode, the logic-1 signal will propagate through the path andcan be captured at the end of the path, when is largethan . If is less than , the signalwould fail to propagate to the end-point, because the near-shortTSV is so leaky that actual TSV path delay is longer than thegiven charging time . From an electrical perspective, theswitch threshold voltage of the capture buffer is the critical

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

WANG et al.: BIST METHODOLOGY, ARCHITECTURE AND CIRCUITS FOR PRE-BOND TSV TESTING IN 3D STACKING IC SYSTEMS 5

Fig. 7. (a) Charge and capture principle for the delay-based short TSV testmethod and (b) timing diagram of the charge-capture operations.

TABLE IIPASS/FAIL CRITERION FOR THE PROPOSED DELAY-BASED NEAR-SHORT TSV

TEST METHOD

TABLE IIITECHNOLOGY AND DESIGN PARAMETER VALUES

voltage level for a TSV under the charge and capture test.As shown in Fig. 7(a), the graph with two curves for a badand a good TSVs is to illustrate the theory of the proposednear-short TSV test method. A good TSV or a bad TSV canbe distinguished by whether the TSV node can be charged tothe threshold voltage level of the capture buffer in agiven time . The pre-defined pass/fail criterion for thepath-delay based test method is summarized in Table II.To implement the delay-based test method, two novel self-test

structures are designed in this study, as shown in Fig. 8. Asdepicted in Fig. 8(a), the delay-based short TSV test circuitmacro is actually an I/O TSV macro with two extra MUXs.This short TSV test circuit macro utilizes two tri-state I/Obuffers with enable signals from the MUXs to form a TSV testpath from a TSV scan FF to the next subsequent scan FF in theproposed SSN architecture, which are not shown in Fig. 8(a). InSAF TSV tests, the tri-state buffers are always enabled for scancapture by the control signals LD_EN and CPT_EN from theBIST controller, which is discussed in the previous sections.For the delay-based near-short TSV test, these two buffers are

Fig. 8. (a) Delay-based short TSV test macro and (b) pulse generation. Macro.

controlled by a pulse, which can be generated by a PG (pulsegeneration) circuit macro. In practice, the PG macro can alsobe implemented by an on-chip PLL/DLL, which is to generatefine-tuned pulses and test clocks in test mode, and providefunctional clocks in normal mode, respectively. However, theaccurate pulse generation is achieved at the cost of hardwareoverhead or longer test sequences/time even if the PLL/DLL isshared for testing a large number of TSVs. Tradeoff betweenhardware overhead and test time should be carefully consideredif PLL/DLL-based PG macro is used. In this study, one simplerimplementation of the PG circuit macro based on a delay lineis proposed to verify the proposed near-short test method, asdepicted in Fig. 8(b).As shown in Fig. 8(a), the variation of short TSV resistance

is mapped into the changes of path delay from node TSVI tonode CO, in this study. In practice, the variation can actually bemapped into a longer logic path by including more logic gatesinto the TSV test path. The path delay change can be also con-verted to a measurable range by proper sizing the driving buffer.For the delay-based TSV test, the charge and capture methodcan be utilized to measure the delay change. When a logic-1 testsignal is ready at the input of the load buffer after a scan shiftin process, a pulse can be generated to enable the two tri-statebuffers to charge the TSV under test. During the charge time,the test response will be propagated to the MUX of a TSV scanFF at the end of test path, and subsequently captured by the nexttest clock. To facilitate the charge and capture test, the delay-linebased PG circuit macro in Fig. 8(b) or a PLL/DLL macro can bedesigned to generate pulses with variable widths, which is usedto control the charging time. In Fig. 8(b), the base delay block isused to obtain the starting point for desired pulse width range,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

6 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS

Fig. 9. (a) DFT design flow and (b) BIST test flow for pre-bond TSV testing.

while the unit delay blocks are utilized to achieve fine tuningof pulse widths for pre-defined range of good TSVs. The pro-posed PG circuit or PLL/DLL macro can be tested by dedicatedself-test circuit [12] or external test equipments prior to the TSVtesting. The timing diagram shown in Fig. 7(c) illustrates the op-erations of test pattern loading, pulse generation, charging TSV,and test result capture. Metastability may occur when the TSVpath delay approaches the width of the PG test pulse. However,in typical scan testing the test clock frequency is less than 50MHz, so a full clock cycle of more than 20 ns for the captureTSV scan FF should be sufficient for the metastability to settle.Similarly, the proposed delay-based test method and structurescan also be reused to detect the variation of TSV resistance andcapacitance from one die to another die, during post-bond TSVtests. A typical post-bond TSV test path is from a TSV scan FFof one die, through an inter-die TSV, to the corresponding TSVscan FF of the neighboring die in a 3D-IC stack.

IV. PRE-BOND TSV BIST METHODOLOGY

Fig. 9(a) shows the DFT design flow for the proposedpre-bond TSV BIST methodology. After functional RTL de-sign, DFT features are defined and implemented into the RTLcoding. In this step, pre-bond TSV test mode can be definedand the proposed BIST architecture can be implemented byinstantiating the I/O TSV macro, TSV test macros and BISTcontroller module. Along with functional logic synthesis, logicand TSV scan chains are inserted into functional gate-levelnetlist by replacing functional FFs with normal scan FFs orTSV scan FFs and stitching the scan FFs into respective scanchains according to scan chain definition. During place androute (PnR), reordering are performed for both logic and TSVscan chains. Before tapeout, ATPG (automatic test patterngeneration) and fault simulation with pre-bond TSV faultsincluding the SAFs, delay-based short faults, or other specialfault models, is performed to ensure fault coverage and verifythe test quality.Fig. 9(b) shows the test flow of the proposed pre-bond TSV

BIST methodology. Prior to TSV scan tests, scan chain tests are

Fig. 10. Voltage-dividing test method by Cho [9] implemented in the proposedTSV I/O macro.

performed to ensure the TSV scan chains are free of manufac-turing defects. During the 2nd test stage, SAF tests includingstrong-short TSV tests are performed to check whether thereare any SA faults in the TSVs, and the circuit nodes in TSV testpaths. The 3rd stage performs near-short TSV tests based onthe proposed delay-based test method. Other TSV tests [7]–[11]can also be chosen as complementary or optional tests at the 4thstage. To perform the other special TSV tests, the specific testmodule can be implemented in the I/O TSV macros and inte-grated into the proposed pre-bond TSV BIST architecture. Forinstance, the voltage-dividing test method in [9] can be imple-mented by adding the SA circuit with a MUX and customizingthe load buffer to a TSV-test-buffer as show in Fig. 10, so thatthe method can be integrated into the proposed BIST solution asan optional test. During the pre-bond TSV test flow, any 2D-ICdie with pre-bond TSVs which fails a test will be dropped as abad die. The defective die will be sent for failure diagnosis, orconfigured to use redundant TSVs to replace bad TSVs. Onlygood dies or dies with adequate redundant TSVs are sent fordie-stacking so as to improve final 3D-IC yield.

V. EXPERIMENT RESULTS AND ANALYSIS

To verify the proposed BIST architecture and structures, pre-bond TSV BIST macros are designed and implemented in a0.18- 6-metal standard CMOS technology and an in-housevia-last TSV copper technology. Based on the proposed BISTarchitecture and near-short TSV test method, pre-bond TSVBIST chips are designed, simulated, and implemented with thecustomized BIST macros to prove the effectiveness of the pro-posed pre-bond TSVBISTmethodology, architecture and struc-ture circuits.

A. Pre-Bond TSV BIST Macros

To ensure reliable TSV fabrication, the diameter and length ofthe TSV are set to 40 and 50 , respectively. The insulatorthickness is 0.2 . The TSV-to-TSV pitch and the keep-outdistance from a TSV to active area are constrained to 120and 50 , respectively. The TSV is modeled by the RC modelas shown in Fig. 2, of which the nominal values for the ,

, and are 0.34 , 1 pF, and 1 , respectively.Fig. 11(a) presents the layout for the I/O TSV macro physi-cally consisting of a TSV, electrostatic discharge (ESD) protec-tion diodes, a TSV-to-active (T2A) pad, and the proposed I/Obuffers with MUXs. The T2A pad is an aluminum pad for thevia-last process to connect active area to the TSV via top con-nection metal layer (TML) at the front side of wafers. Duringthe back-end-of-line process, the TML layer is formed to con-nect the TSV to the ESD circuit via a passivation opening overthe T2A pad. The Bumps for TSV bonding and die stacking arealso customized as separate physical macros. The size of I/O

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

WANG et al.: BIST METHODOLOGY, ARCHITECTURE AND CIRCUITS FOR PRE-BOND TSV TESTING IN 3D STACKING IC SYSTEMS 7

Fig. 11. Layout of the (a) I/O TSVmacro, (b) TSV corner filler macro, (c) TSVfiller macro, (d) HR TSV macro, and (e) PG macro.

TSV macro is , while the I/O circuit isonly .Several types of other TSV macros are also implemented

to enable the DFT design flow with reduced design effort andgood design automation. Fig. 11(b) and (c) shows the TSVcorner filler and TSV filler macros are created to facilitate thephysical implementation of TSVs in ring and array structures.Power rings labeled by VDDD, GNDD, VDD, and GNDare created to provide ESD protection and power supplies tothe circuits in the macros. The sizes of the two filler macrosare and ,respectively. Fig. 11(d) shows a High-Redundant (HR) TSVmacro implemented with two TSVs in parallel to ensure higherreliable TSV connection for dedicated test access ports in thisstudy. Fig. 11(e) shows the layout of a design example ofthe delay-line based PG macro with standard delay cells toimplement sixteen delay steps to generate pulse with 100-psresolution. The macro size is .

B. Two Pre-Bond TSV BIST Design Examples

To demonstrate the DFT design flow as shown in Fig. 9(a),two pre-bond TSV BIST circuits are designed, simulated, andimplemented with the pre-customized TSV BIST macros.The first BIST design consists of a BIST controller, a PG

macro, and a SSN scan chain of eight TSV scan FFs and eightI/O TSV macros for eight output TSVs under test. In this BISTcircuit, a 4-bit 4-bit multiplier is also designed as functionalcircuit core, of which the 8-bit output is latched by the eight TSVscan FFs and eventually connected to the eight output TSVs.The BIST design is captured by Verilog-HDL and simulated at

Fig. 12. Layout of the BIST design #1 in (a) and design #2 in (b).

RTL (register transfer level) with logic models of the PG macroand I/O TSV macros. The RTL design is mapped into gate levelwith the two macro’s library models in logic synthesis. The li-brary and LEF (library exchange format) files for the macros aregenerated from commercial characterization and abstract gen-erator tools. The physical implementation of PnR is performedwith the two macro’s LEF files in Cadence SoC Encounter. Thelayout for the BIST design is shown in Fig. 12(a). This BIST de-sign can operate at 50MHz and 100MHz, for test and functionalmodes, respectively. To verify the functionalities, post-layoutmixed-signal simulations are run with Verilog-HDL and SPICEnetlists in Cadence NC-Sim AMS (analog/mixed-signal) envi-ronment. The SPICE netlists for the I/O TSV and PG macrosare extracted from SPICE simulations from the Cadence Vir-tuoso environment.To verify the proposed self-test method and test structures, a

secondBIST circuit is designed and fabricatedwith the 0.18-CMOS technology. Compared with the first BIST design, thisdesign is a simplified SSN-based BIST circuit with a PG macro,an I/O TSV macro, and a two-FF scan chain to test a singleTSV only [13]. This pre-bond TSV test chip is designed, im-plemented, and simulated in Cadence Virtuoso environment.Fig. 12(b) shows the layout for the test chip.

C. Measurement Results and Robustness Analysis

The measurement results of the second BIST circuit designare presented in Fig. 13. The waveforms in Fig. 13(a) demon-strate a scan chain test with a test pattern of by TDI toperform a SA0 test. The corresponding test response shifted outfrom TDO by scan clock SCLK indicates that the scan chain isfree of SA0 fault. Similarly, a SA1 scan chain test has also beenperformed by a test pattern of . Fig. 13(b) presents a SA0test to check whether there is a strong-short defect in the TSV

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

8 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS

Fig. 13. Captured scan test waveforms from test chip measurement of BISTdesign #2: (a) scan chain test, (b) TSV strong short test, (c) TSV near-short test#1, (d) TSV near-short test #2, and (e) functional test.

or the test path. Compared with the scan chain test, there is anadditional scan capture operation by a SCLK pulse in the scanTSV test. From the experiments, it is found that the SA0 testcan only detect strong-short TSV defects with equal to orsmaller than the PMOS on-resistance of driving bufferin the IO TSV macro, which is around 570 in this test chip.This fact proves that SA0 test cannot detect near-short TSV de-fects, which has effective short resistances larger than the .In Fig. 13(c) and Fig. 13(d), two near-short TSV test results arepresented to show the detection function of near-short TSVs.As highlighted in the captured waveforms, a pulse is generatedwith a width just above the defective TSV path delay to enablethe tri-state I/O buffers in the I/O TSV macro to charge the TSVnode. Fig. 13(c) shows a TSV is detected as a near-short de-fect with less than the . Fig. 13(d) shows an-other TSV passes the near-short test, which indicates itsis larger than the . Noted that it is difficult to ma-nipulate the process to generate desired near-short TSV defectsin a small quantity of TSVs from a dozens of test chips, externaldiscrete resistors are used to emulate the short TSV defects inthis experiment. However, the functional experiment results inFig. 13 show that the proposed pre-bond BIST method and ar-chitecture is able to detect pre-bond TSV defects including SAFdefects, strong-short and near-short defects.As presented in Fig. 13(e), functional tests show that the test

chip can still operate at 100MHz in normal mode until thereduces to at 570 . Although the TSV under test canpass 1-bit digital signal functionally in the near-short range, thetest chip at 1.8 V will suffer degraded performance, poor timingmargin and leakage power. Therefore, the pass/fail criteria witha pre-defined near-short fault range for pre-bond TSV tests inTable II, cannot be defined only by function operation. The def-inition of the critical near-short point of shouldbe determined by taking into account a combination of factors,such as CMOS and TSV process technologies, circuit function,and system performance. More importantly, the robustness ofthe proposed delay-based test method should also be considered

Fig. 14. Post-layout simulation results of TSV path delay against effect shortresistance due to near-short TSV defects.

when selecting a suitable and corresponding TSVpath delay value.In this study, without loss of generality and representation,

medium-strength buffer and minimum-size MUX cells in the0.18- digital standard cell library are used to build the pro-posed near-short TSV test macro in Fig. 8(a). Similarly, min-imum-size logic cells are used to build the PGmacro, except thatminimum delay cells are selected to implement the unit delayblock to achieve finest delay resolution, as shown in Fig. 8(b).Fig. 14 shows the simulated TSV test path delay against theTSV-to-substrate resistance . As shown in Fig. 14, theTSV path delay will decrease to a saturated point, i.e., around780 ps, when the increases to above a certain value, i.e.,10 . The saturation point can be selected as the good TSVpoint, which is reasonable by considering a 3D-IC system witha typical 100-MHz system clock and TSV path delay of lesserthan 10% of the clock cycle when implemented in 0.18-CMOS technology. So the near-short TSV range is between the

of 570 and the of 10 in this case. To se-lect a suitable and forthe proposed delay-based test method, a pulse width variationdue to process variation impact, e.g., 10%, should be consid-ered so that a guard band can be used to avoid over-killing goodTSVs. with 10% variation must notreach the upper bound, i.e., . For this casestudy, 800 ps instead of 780 ps is chosen as the upper bound be-cause the resolution of the designed delay line is around 100 ps,therefore the of 900 ns is selected byconsidering 10% delay variation.Fig. 15 presents the 1000-point Monte-Carlo simulation re-

sult of the PG macro with a mean value of 906-ps pulse anda variation of around 7%, which is within the assumed 10%guard band. This case study shows that by taking into consid-eration the process variation of PG circuit, the proposed delay-based TSV test method is able to detect near-short TSV defectswhich may result in significant performance degrading and poortiming margin under process variation. To further improve theresolution and variation of delay line, customized delay-basedPG circuit can be employed by replacing the standard delaycells with high-resolution delay elements, e.g., the digitally con-trolled delay element with a resolution as low as 2 ps and vari-ation of around 2% in 0.18- CMOS technology [15]. In thisway, better detection resolution can be achieved. For smaller

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

WANG et al.: BIST METHODOLOGY, ARCHITECTURE AND CIRCUITS FOR PRE-BOND TSV TESTING IN 3D STACKING IC SYSTEMS 9

Fig. 15. Statistical simulation results of the proposed PG macro.

TSV as compared with the one in this case study, the delay-based test method can be scaled accordingly by matching thesmaller-dimension TSVs with smaller-geometry CMOS tech-nology, as shown in Table I.

VI. CONCLUSION

A novel scan-based test architecture consisting of a TSV scanchain, I/O TSV macros, and a BIST controller is proposed toperform TSV scan tests and at the same time address the is-sues of test accessibility and test overhead. A delay-based testmethod is also proposed to detect near-short TSV defects by in-tegrating novel self-test structures into the architecture. DFT de-sign and test flow for the proposed pre-bond TSV BIST has alsobeen discussed. Experiment results and robustness analysis havebeen presented to verify the proposed self-test methodology, ar-chitecture, and structure circuits.

REFERENCES

[1] R. S. Patti, “3-D integrated circuits and the future of system-on-chipdesigns,” Proc. IEEE, vol. 94, no. 6, pp. 1214–1224, Jun. 2006.

[2] M. Motoyoshi, “Through-silicon via (TSV),” Proc. IEEE, vol. 97, no.1, pp. 43–48, Jan. 2009.

[3] Y. Chen, D. Niu, Y. Xie, and K. Chakrabarty, “Cost-effective inte-gration of three-dimensional (3D) ICs emphasizing testing cost anal-ysis,” in Proc. IEEE/ACM Int. Conf. Comput.-Aided Design, 2010, pp.471–476.

[4] E. J. Marinissen, “Testing TSV-based three-dimensional stacked ICs,”in Proc. IEEE Design, Autom., Test Eur. Conf. Exhib., 2010, pp.1689–1694.

[5] H. H. S. Lee and K. Chakrabarty, “Test challenges for 3D integratedcircuits,” IEEE Design Test Comput., vol. 26, no. 5, pp. 26–35, Sep.–Oct. 2009.

[6] R. Weerasekera, L.-R. Zheng, D. Pamunuwa, and H. Tenhunen, “Ex-tending systems-on-chip to the third dimension: Performance, cost andtechnological tradeoffs,” in Proc. Int. Conf. Comput.-Aided Design,2007, pp. 212–219.

[7] P. Y. Chen, C. W. Wu, and D. M. Kwai, “On-chip testing of blind andopen-sleeve TSVs for 3D IC before bonding,” in Proc. IEEE VLSI TestSymp., 2010, pp. 263–268.

[8] Y. Lou, Z. Yan, F. Zhang, and P. D. Franzon, “Comparing Through-Silicon-Via (TSV) void/pinhole defect self-test methods,” J. Electron.Testing, vol. 28, no. 1, pp. 27–38, 2011.

[9] M. Cho, C. Liu, D. H. Kim, S. K. Lim, and S. Mukhopadhyay,“Pre-bond and post-bond test and signal recovery structure to char-acterize and repair TSV defect induced signal degradation in 3Dsystem,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 1, no.11, pp. 1718–1727, Nov. 2011.

[10] Y. Fkih, P. Vivet, B. Rouzeyre, M.-L. Flottes, and G. Di Natale, “A3D IC BIST for pre-bond test of TSVs using ring oscillators,” in Proc.IEEE Int. New Circuits Syst. Conf., 2013, pp. 1–4.

[11] S. Deutsch and K. Chakrabarty, “Non-invasive pre-bond TSV testusing ring oscillators and multiple voltage levels,” in Proc. Design,Autom., Test Europe Conf. Exhib., 2013, pp. 1065–1070.

[12] S. Sunter, “Essential principles for practical analog BIST,” EDNNetw. Nov. 2010 [Online]. Available: http://edn.com/design/analog/4363796/Essential-principles-for-practical-analog-BIST-item-2

[13] C. Wang, J. Zhou, B. Zhao, X. Liu, R. Philippe, and M. Je, “Self-testmethodology and structures for pre-bond TSV testing in 3D-ICsystem,” in Proc. Asian Solid State Circuit Conf., Nov. 2012, pp.393–396.

[14] G. Katti, A. Mercha, M. Stucchi, Z. Tokei, D. Velenis, J. Van Olmen, C.Huyghebaert, A. Jourdain, M. Rakowski, I. Debusschere, P. Soussan,H. Oprins, W. Dehaene1, K. DeMeyer, Y. Travaly, E. Beyne, S. Biese-mans, and B. Swinnen, “Temperature dependent electrical characteris-tics of Through-Si-Via (TSV) interconnections,” in Proc. Int. Intercon-nect Tech. Conf., 2010, pp. 1–3.

[15] M. Maymandi-Nejad and M. Sachdev, “A monotonic digitally con-trolled delay element,” IEEE J. Solid-State Circuits, vol. 40, no. 11,pp. 2212–2219, Nov. 2005.

Chao Wang (S’06–M’11) received his B.Eng. andPh.D. degrees, both in electronics engineering, fromHuazhong University of Science and Technology,China, in 2000, and Nanyang Technological Univer-sity (NTU), Singapore in 2008, respectively.From 2005 to 2007, he was also with the Center

for Signal Processing, NTU as a Research Engineer.Since then, he worked as a Design Engineer withSTMicroelectronics (STM), Asia-Pacific DesignCentre, Singapore, from 2008 to 2010. He par-ticipated in the development of STM 2/3/5MPix

Bayer/YUV image sensing and processing ICs. Currently, he is a ResearchScientist with the Institute of Microelectronics (IME), Agency for Science,Technology and Research (A*STAR), Singapore. His research interests includeenergy-efficient SoC/VLSI design, ultra-low-voltage CMOS circuit design,MEMS sensor ASIC design, and 3D-IC design.

Jun Zhou (S’08–M’11–SM’14) received the dualB.Eng. degree from the University of ElectronicScience and Technology of China and the Ph.D.degree from Newcastle University, U.K., in 2004and 2008, respectively.From 2008 to 2010, he worked as a Research Sci-

entist at IMEC Netherlands, where he participated inseveral projects as key member to design ultra-lowpower DSP for Philips and NXP for wireless intel-ligent sensor applications. In 2011, he joined the In-stitute of Microelectronics (IME), Singapore, where

he leads research in low-voltage and variation-tolerant VLSI design. He haspublished over 20 international prestigious conference and journal papers inenergy-efficient circuit and system design, including ISSCC, DAC, JSSC, andTCAS-I.

Roshan Weerasekera (S’01–M’04–SM’12) re-ceived the B.Sc. degree from the University ofPeradeniya, Peradeniya, Sri Lanka, in 1998 and theM.Sc. and Ph.D. degrees in electronic system designfrom the Royal Institute of Technology, Stockholm,Sweden, in 2002 and 2008, respectively.From October 2001 to December 2003, he was

a Lecturer in the Department of Electrical andElectronic Engineering, University of Peradeniya,and from 2008 to 2011, he was a Research Associatewith Lancaster University, U.K., within a 3-D Flash

memory integration project (ELITE) under a European Union FP7 researchgrant. Currently, he is with the Institute of Microelectronics (IME), Agencyfor Science, Technology and Research (A*STAR), Singapore, and leads a2.5D TSI based Heterogeneous System design project. His research interestsinclude the 2D/2.5D/3D heterogeneous system design for applications such asdata-center servers, portable computing platforms, and integrated biosensorplatforms for point-of-care systems.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

10 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS

Bin Zhao received the B.S. degree from PekingUniversity in 1990, majoring in microelectronics.He then received the M.S. and M.Eng degreesfrom Chinese Academy of Sciences and NationalUniversity of Singapore (NUS) in 1993 and 1998,respectively.He has worked in Trident Technology Pte Ltd

(Beijing Branch), and Myson Technology Pte Ltd(Beijing Branch). From 1998 to 2000, he workedat Philips Electronics Pte Ltd, Singapore. In July2000, he joined the the Institute of Microelectronics

(IME), Agency for Science, Technology and Research (A*STAR) and now is aSenior Research Engineer. He has involved in many digital IC design project,such as WLAN Baseband, ONFIG ONU unit, RFID tag/reader, EHSII, ZigBeeBaseband, NVM memory, etc. His interest is on low-power digital IC designand implementation.

Xin Liu (M’12) received the B. Eng. Degree inelectrical engineering from Tianjin University,China, and the Ph.D. degree in electrical engineeringfrom Nanyang Technological University, Singapore,in 2000 and 2007, respectively.From 2006 to 2007, he worked as a Research

Fellow with National University of Singapore,Singapore. In 2007, he joined the Institute of Micro-electronics (IME), Agency for Science, Technologyand Research (A*STAR), Singapore. Currently, he isthe Principal Investigator of Digital-IC (DIC) group,

Integrated Circuits & System Lab. He leads the DIC group’s research activitiesin the areas of cognitive wireless radio, energy-efficient digital signal processorarchitecture, reliable ultra-low voltage digital circuit design, hardware-orientedalgorithm development for on-chip signal processing, advanced 2.5D/3DTSV/TSI design, and digital-assisted mixed-signal circuit design. He has morethan 20 international papers, and holds one U.S. patent.

Philippe Royannez (SM’) received the M.Sc degreein electrical engineering from the ISEP (InstitutSupérieur d’Électronique de Paris), France, and theM.Sc. and Ph.D degrees in computer science fromParis VI University, France.He is IC Design Director at Intel Mobile Com-

munication, Singapore. Prior to joining Intel, heworked 6 years at Siemens and Infineon, 10 Years atTexas Instruments, and 3 Years at ST Ericsson. Hewas also the CTO and Co-Founder of Xiledge Tech.,a U.S. startup specializing in ultralow power IC.

He has worked in Germany, France, the United States, India, and Singapore.He has been in charge of designing various applications such as automotive,non-volatile memories, security and smart cards, digital basebands, applicationprocessors, and wireless connectivity. His main interests are low power,wireless IC, design automation, embedded systems, and advanced packaging.

Minkyu Je (S’97–M’03–SM’12) received the M.S.and Ph.D. degrees, both in electrical engineering andcomputer science, from Korea Advanced Institute ofScience and Technology (KAIST), Daejeon, in 1998and 2003, respectively.In 2003, he joined Samsung Electronics, Gi-

heung, Korea, as a Senior Engineer and workedon multi-mode multi-band RF transceiver SoCs forcellular standards. From 2006 to 2013, he was withInstitute of Microelectronics (IME), Agency forScience, Technology and Research (A*STAR), Sin-

gapore. From 2011 to 2013, he led the Integrated Circuits and Systems Lab atIME as a Department Head. He was also a Program Director of NeuroDevicesProgram under A*STAR Science and Engineering Research Council (SERC)from 2011 to 2013, and an Adjunct Assistant Professor in the Departmentof Electrical and Computer Engineering at National University of Singapore(NUS) from 2010 to 2013. Since 2014, he has been an Associate Professorin the Department of Information and Communication Engineering at DaeguGyeongbuk Institute of Science & Technology (DGIST), Daegu, Korea. Hismain research areas are advanced IC platform development including smartsensor interface ICs and ultra-low-power wireless communication ICs, as wellas microsystem integration leveraging the IC platform for emerging applica-tions such as intelligent miniature biomedical devices, ubiquitous wirelesssensor nodes, and future mobile devices. He has more than 200 peer-reviewedinternational conference and journal publications. He also has more than 30patents issued or filed.