Mobile CPU's Rise to Power - University of Rochester · Mobile CPU's Rise to Power:...

Preview:

Citation preview

Mobile CPU's Rise to Power: Quantifying the Impact of Generational Mobile CPU Design

Trends on Performance, Energy, and User Satisfaction

Matthew Halpern Yuhao Zhu Vijay Janapa ReddiDept. of Electrical and Computer Engineering

The University of Texas at Austin 1

2

2

Snake 2000

2

Snake 2000

Snake Simulator 2016

2

3

Mobile Device

Touchscreen

3

Mobile Device

Touchscreen Cellular WiFI Bluetooth

3

Mobile Device

Touchscreen Cellular WiFI Bluetooth

Camera

3

Mobile Device

Touchscreen Cellular WiFI Bluetooth

GPSCamera Sensors Battery

3

Mobile Device

System-On-A-Chip

Touchscreen Cellular WiFI Bluetooth

GPSCamera Sensors Battery

3

Mobile Device

System-On-A-Chip

4

System-On-A-Chip

4

System-On-A-Chip

CPU

4

System-On-A-Chip

CPU

GPU

4

System-On-A-Chip

CPU

GPU

Accelerators

4

System-On-A-Chip

CPU

Network-On-ChipGPU

Accelerators

4

System-On-A-Chip

CPU

Network-On-ChipGPU

Accelerators

CPU

4

Nor

mal

ized

ARM

Mar

kets

hare

(%)

0

20

40

60

80

100

Year2009 2010 2011 2012 2013 2014 2015

ARM11 A8 A5 A9 A15 A7 A53 A57

5

Nor

mal

ized

ARM

Mar

kets

hare

(%)

0

20

40

60

80

100

Year2009 2010 2011 2012 2013 2014 2015

ARM11 A8 A5 A9 A15 A7 A53 A57

5

Nor

mal

ized

ARM

Mar

kets

hare

(%)

0

20

40

60

80

100

Year2009 2010 2011 2012 2013 2014 2015

ARM11 A8 A5 A9 A15 A7 A53 A57

5

Nor

mal

ized

ARM

Mar

kets

hare

(%)

0

20

40

60

80

100

Year2009 2010 2011 2012 2013 2014 2015

ARM11 A8 A5 A9 A15 A7 A53 A57

5

Nor

mal

ized

ARM

Mar

kets

hare

(%)

0

20

40

60

80

100

Year2009 2010 2011 2012 2013 2014 2015

ARM11 A8 A5 A9 A15 A7 A53 A57

5

Nor

mal

ized

ARM

Mar

kets

hare

(%)

0

20

40

60

80

100

Year2009 2010 2011 2012 2013 2014 2015

ARM11 A8 A5 A9 A15 A7 A53 A57

5

Nor

mal

ized

ARM

Mar

kets

hare

(%)

0

20

40

60

80

100

Year2009 2010 2011 2012 2013 2014 2015

ARM11 A8 A5 A9 A15 A7 A53 A57

5

Nor

mal

ized

ARM

Mar

kets

hare

(%)

0

20

40

60

80

100

Year2009 2010 2011 2012 2013 2014 2015

ARM11 A8 A5 A9 A15 A7 A53 A57

5

Nor

mal

ized

ARM

Mar

kets

hare

(%)

0

20

40

60

80

100

Year2009 2010 2011 2012 2013 2014 2015

ARM11 A8 A5 A9 A15 A7 A53 A57

5

Nor

mal

ized

ARM

Mar

kets

hare

(%)

0

20

40

60

80

100

Year2009 2010 2011 2012 2013 2014 2015

ARM11 A8 A5 A9 A15 A7 A53 A57

Mobile CPU design is fast-paced.

5

Conventional Research Scope

6

HardwareSoftware

Conventional Research Scope

6

HardwareSoftware

Bottlenecks

Conventional Research Scope

6

HardwareSoftware

Performance

Bottlenecks

Conventional Research Scope

6

HardwareSoftware

Performance

Bottlenecks

Conventional Research Scope

6

HardwareSoftware

Performance

Bottlenecks

Conventional Research Scope

6

HardwareSoftware

Perf

orm

ance

Bottlenecks

Expanding the Research Scope

7

HardwareSoftware ProcessorApplications

Perf

orm

ance

Bottlenecks

Expanding the Research Scope

7

HardwareSoftware ProcessorApplications

Perf

orm

ance

Bottlenecks

Expanding the Research Scope

Conventional Research Scope

7

HardwareSoftware ProcessorApplicationsEnd-Users

Perf

orm

ance

Bottlenecks

Expanding the Research Scope

Conventional Research Scope

7

HardwareSoftware ProcessorApplicationsEnd-Users

Perf

orm

ance

BottlenecksFe

atur

es

Expanding the Research Scope

Conventional Research Scope

7

HardwareSoftware ProcessorApplicationsEnd-Users

SatisfactionPe

rfor

man

ce

BottlenecksFe

atur

es

Expanding the Research Scope

Conventional Research Scope

7

HardwareSoftware Mobile DeviceProcessorApplicationsEnd-Users

SatisfactionPe

rfor

man

ce

BottlenecksFe

atur

es

Expanding the Research Scope

Conventional Research Scope

7

HardwareSoftware Mobile DeviceProcessorApplicationsEnd-Users

SatisfactionPe

rfor

man

ce

Pow

er B

udge

ts

BottlenecksFe

atur

es

Expanding the Research Scope

Conventional Research Scope

7

HardwareSoftware Mobile DeviceProcessorApplicationsEnd-Users

SatisfactionPe

rfor

man

cePower Consumption

Pow

er B

udge

ts

BottlenecksFe

atur

es

Expanding the Research Scope

Conventional Research Scope

7

Our Scope

HardwareSoftware Mobile DeviceProcessorApplicationsEnd-Users

SatisfactionPe

rfor

man

cePower Consumption

Pow

er B

udge

ts

BottlenecksFe

atur

es

Expanding the Research Scope

7

Characterize how the interactions between mobile CPU, end-user, and

mobile device have changed over time through real-world measurement

8

Characterize how the interactions between mobile CPU, end-user, and

mobile device have changed over time through real-world measurement

1. Has mobile CPU efficiency improved?

8

Characterize how the interactions between mobile CPU, end-user, and

mobile device have changed over time through real-world measurement

1. Has mobile CPU efficiency improved?2. Have mobile CPU advancements

improved end-user satisfaction?

8

Characterize how the interactions between mobile CPU, end-user, and

mobile device have changed over time through real-world measurement

1. Has mobile CPU efficiency improved?2. Have mobile CPU advancements

improved end-user satisfaction?3. How has the rest of the mobile device

evolved around the CPU?8

Characterize how the interactions between mobile CPU, end-user, and

mobile device have changed over time through real-world measurement

9

Characterize how the interactions between mobile CPU, end-user, and

mobile device have changed over time through real-world measurement

9

Capturing Real-world Mobile CPU Trends from Off-the-Shelf Smartphones

10

Samsung Galaxy

S6

Samsung Galaxy

S5

Samsung Galaxy

S4

Samsung Galaxy

S3

Samsung Galaxy Nexus

Samsung Galaxy

S

2015201420132012201120102009

Motorola Droid

Capturing Real-world Mobile CPU Trends from Off-the-Shelf Smartphones

10

11

Capturing Real-world Mobile CPU Trends from Off-the-Shelf Smartphones

Year 2009 2010 2011 2012 2013 2014 2015

11

Capturing Real-world Mobile CPU Trends from Off-the-Shelf Smartphones

Year 2009 2010 2011 2012 2013 2014 2015uArch A8 A8 A9 A9 A15 A15 A57

11

Capturing Real-world Mobile CPU Trends from Off-the-Shelf Smartphones

Year 2009 2010 2011 2012 2013 2014 2015uArch A8 A8 A9 A9 A15 A15 A57

Process 65 nm 45 nm 32 nm 28 nm 28 nm 28 nm 14 nm

11

Capturing Real-world Mobile CPU Trends from Off-the-Shelf Smartphones

Year 2009 2010 2011 2012 2013 2014 2015uArch A8 A8 A9 A9 A15 A15 A57

Process 65 nm 45 nm 32 nm 28 nm 28 nm 28 nm 14 nmFreq 0.6 GHz

GHz1 GHz 1.2 GHz

GHGHz1.4 GHz

GHz1.6 GHz

GHz2.1 GHz

GHz2.1 GHz

GHz

11

Capturing Real-world Mobile CPU Trends from Off-the-Shelf Smartphones

Year 2009 2010 2011 2012 2013 2014 2015uArch A8 A8 A9 A9 A15 A15 A57

Process 65 nm 45 nm 32 nm 28 nm 28 nm 28 nm 14 nmFreq 0.6 GHz

GHz1 GHz 1.2 GHz

GHGHz1.4 GHz

GHz1.6 GHz

GHz2.1 GHz

GHz2.1 GHz

GHzCores 1 1 2 4 4 (+4) 4 (+4) 4 (+4)

11

Capturing Real-world Mobile CPU Trends from Off-the-Shelf Smartphones

Year 2009 2010 2011 2012 2013 2014 2015uArch A8 A8 A9 A9 A15 A15 A57

Process 65 nm 45 nm 32 nm 28 nm 28 nm 28 nm 14 nmFreq 0.6 GHz

GHz1 GHz 1.2 GHz

GHGHz1.4 GHz

GHz1.6 GHz

GHz2.1 GHz

GHz2.1 GHz

GHzCores 1 1 2 4 4 (+4) 4 (+4) 4 (+4)L1 I$ 32 KB 32 KB 32 KB 32 KB 32 KB 32 KB 48 KBL1 D$ 32 KB 32 KB 32 KB 32 KB 32 KB 32 KB 32 KBLLC 256 KB 512 KB 1 MB 2 MB 2 MB 2 MB 2 MB

DRAM 256 MB 512 MB MB

1 GB 1 GB 2 GB 2 GB 3 GB11

Capturing Real-world Mobile CPU Trends from Off-the-Shelf Smartphones

Has mobile CPU efficiency improved?

12

Performance

Power

Energy

Has mobile CPU efficiency improved?

12

Substantial Performance Improvements

13

Spee

dup

1

3

5

7

9

11

13

Year2009 2010 2011 2012 2013 2014 2015

Coremark SPEC

Substantial Performance Improvements

13

Spee

dup

1

3

5

7

9

11

13

Year2009 2010 2011 2012 2013 2014 2015

Coremark SPEC

Substantial Performance Improvements

13

Spee

dup

1

3

5

7

9

11

13

Year2009 2010 2011 2012 2013 2014 2015

Coremark SPEC

Substantial Performance Improvements

13

Spee

dup

1

3

5

7

9

11

13

Year2009 2010 2011 2012 2013 2014 2015

Coremark SPEC

Substantial Performance Improvements

What are the key architectural contributors?

13

Speedup = IPC Speedup x Clock Speedup

14

Spee

dup

1

2

3

4

5

Year2009 2010 2011 2012 2013 2014 2015

Frequency IPC

Speedup = IPC Speedup x Clock Speedup

14

Spee

dup

1

2

3

4

5

Year2009 2010 2011 2012 2013 2014 2015

Frequency IPC

Speedup = IPC Speedup x Clock Speedup

14

Spee

dup

1

2

3

4

5

Year2009 2010 2011 2012 2013 2014 2015

Frequency IPC

Speedup = IPC Speedup x Clock Speedup

14

Spee

dup

1

2

3

4

5

Year2009 2010 2011 2012 2013 2014 2015

Frequency IPC

A8

Speedup = IPC Speedup x Clock Speedup

14

Spee

dup

1

2

3

4

5

Year2009 2010 2011 2012 2013 2014 2015

Frequency IPC

A832-bit

Speedup = IPC Speedup x Clock Speedup

14

Spee

dup

1

2

3

4

5

Year2009 2010 2011 2012 2013 2014 2015

Frequency IPC

Dual-Issue

A832-bit

Speedup = IPC Speedup x Clock Speedup

14

Spee

dup

1

2

3

4

5

Year2009 2010 2011 2012 2013 2014 2015

Frequency IPC

Dual-IssueIn-Order

A832-bit

Speedup = IPC Speedup x Clock Speedup

14

Spee

dup

1

2

3

4

5

Year2009 2010 2011 2012 2013 2014 2015

Frequency IPC

Dual-IssueIn-Order

A8 A932-bit

Speedup = IPC Speedup x Clock Speedup

14

Spee

dup

1

2

3

4

5

Year2009 2010 2011 2012 2013 2014 2015

Frequency IPC

Dual-IssueIn-Order Out-of-Order

A8 A932-bit

Speedup = IPC Speedup x Clock Speedup

14

Spee

dup

1

2

3

4

5

Year2009 2010 2011 2012 2013 2014 2015

Frequency IPC

Dual-IssueIn-Order Out-of-Order

A8 A9 A1532-bit

Speedup = IPC Speedup x Clock Speedup

14

Spee

dup

1

2

3

4

5

Year2009 2010 2011 2012 2013 2014 2015

Frequency IPC

Dual-Issue Triple-IssueIn-Order Out-of-Order

A8 A9 A1532-bit

Speedup = IPC Speedup x Clock Speedup

14

Spee

dup

1

2

3

4

5

Year2009 2010 2011 2012 2013 2014 2015

Frequency IPC

Dual-Issue Triple-IssueIn-Order Out-of-Order Aggressive Out-of-Order

A8 A9 A1532-bit

Speedup = IPC Speedup x Clock Speedup

14

Spee

dup

1

2

3

4

5

Year2009 2010 2011 2012 2013 2014 2015

Frequency IPC

Dual-Issue Triple-IssueIn-Order Out-of-Order Aggressive Out-of-Order

A8 A9 A15

Aggressive Mem Hierarchy

32-bit

Speedup = IPC Speedup x Clock Speedup

14

Spee

dup

1

2

3

4

5

Year2009 2010 2011 2012 2013 2014 2015

Frequency IPC

Dual-Issue Triple-IssueIn-Order Out-of-Order Aggressive Out-of-Order

A8 A9 A15 A57

Aggressive Mem Hierarchy

32-bit

Speedup = IPC Speedup x Clock Speedup

14

Spee

dup

1

2

3

4

5

Year2009 2010 2011 2012 2013 2014 2015

Frequency IPC

Dual-Issue Triple-IssueIn-Order Out-of-Order Aggressive Out-of-Order

A8 A9 A15 A57

Aggressive Mem Hierarchy

32-bit 64-bit

Speedup = IPC Speedup x Clock Speedup

14

Spee

dup

1

2

3

4

5

Year2009 2010 2011 2012 2013 2014 2015

Frequency IPC

Dual-Issue Triple-IssueIn-Order Out-of-Order Aggressive Out-of-Order

A8 A9 A15 A57

Aggressive Mem Hierarchy

32-bit 64-bit

Aggressive core scaling techniques have provided mobile CPUs substantial performance improvements.

Speedup = IPC Speedup x Clock Speedup

14

In-Order Out-of-Order Aggressive Out-of-OrderA8 A9 A15 A57

Excessive Power Consumption

15

Pow

er (m

W)

0

500

1000

1500

2000

2500

Year2009 2010 2011 2012 2013 2014 2015

Coremark SPEC Bleh

In-Order Out-of-Order Aggressive Out-of-OrderA8 A9 A15 A57

Excessive Power Consumption

15

Pow

er (m

W)

0

500

1000

1500

2000

2500

Year2009 2010 2011 2012 2013 2014 2015

Coremark SPEC Bleh

In-Order Out-of-Order Aggressive Out-of-OrderA8 A9 A15 A57

Excessive Power Consumption

15

Pow

er (m

W)

0

500

1000

1500

2000

2500

Year2009 2010 2011 2012 2013 2014 2015

Coremark SPEC Bleh

In-Order Out-of-Order Aggressive Out-of-OrderA8 A9 A15 A57

Excessive Power Consumption

15

Pow

er (m

W)

0

500

1000

1500

2000

2500

Year2009 2010 2011 2012 2013 2014 2015

Coremark SPEC Bleh

In-Order Out-of-Order Aggressive Out-of-OrderA8 A9 A15 A57

65 nm

Excessive Power Consumption

15

Pow

er (m

W)

0

500

1000

1500

2000

2500

Year2009 2010 2011 2012 2013 2014 2015

Coremark SPEC Bleh

In-Order Out-of-Order Aggressive Out-of-OrderA8 A9 A15 A57

65 nm 45 nm

Excessive Power Consumption

15

Pow

er (m

W)

0

500

1000

1500

2000

2500

Year2009 2010 2011 2012 2013 2014 2015

Coremark SPEC Bleh

In-Order Out-of-Order Aggressive Out-of-OrderA8 A9 A15 A57

65 nm 45 nm

Excessive Power Consumption

15

Pow

er (m

W)

0

500

1000

1500

2000

2500

Year2009 2010 2011 2012 2013 2014 2015

Coremark SPEC Bleh

In-Order Out-of-Order Aggressive Out-of-OrderA8 A9 A15 A57

65 nm 45 nm 32 nm

Excessive Power Consumption

15

Pow

er (m

W)

0

500

1000

1500

2000

2500

Year2009 2010 2011 2012 2013 2014 2015

Coremark SPEC Bleh

In-Order Out-of-Order Aggressive Out-of-OrderA8 A9 A15 A57

65 nm 45 nm 32 nm

HKMG

Excessive Power Consumption

15

Pow

er (m

W)

0

500

1000

1500

2000

2500

Year2009 2010 2011 2012 2013 2014 2015

Coremark SPEC Bleh

In-Order Out-of-Order Aggressive Out-of-OrderA8 A9 A15 A57

65 nm 45 nm 28 nm32 nm

HKMG

Excessive Power Consumption

15

Pow

er (m

W)

0

500

1000

1500

2000

2500

Year2009 2010 2011 2012 2013 2014 2015

Coremark SPEC Bleh

In-Order Out-of-Order Aggressive Out-of-OrderA8 A9 A15 A57

65 nm 45 nm 28 nm 14nm32 nm

HKMG

Excessive Power Consumption

15

Pow

er (m

W)

0

500

1000

1500

2000

2500

Year2009 2010 2011 2012 2013 2014 2015

Coremark SPEC Bleh

In-Order Out-of-Order Aggressive Out-of-OrderA8 A9 A15 A57

65 nm 45 nm 28 nm 14nm32 nm

HKMG

Memory Activity

Excessive Power Consumption

15

Pow

er (m

W)

0

500

1000

1500

2000

2500

Year2009 2010 2011 2012 2013 2014 2015

Coremark SPEC Bleh

In-Order Out-of-Order Aggressive Out-of-OrderA8 A9 A15 A57

65 nm 45 nm 28 nm 14nm32 nm

HKMG

Single-core Thermal Design Point

Memory Activity

Excessive Power Consumption

15

Pow

er (m

W)

0

500

1000

1500

2000

2500

Year2009 2010 2011 2012 2013 2014 2015

Coremark SPEC Bleh

In-Order Out-of-Order Aggressive Out-of-OrderA8 A9 A15 A57

65 nm 45 nm 28 nm 14nm32 nm

HKMG

Single-core Thermal Design Point

Memory Activity

Mobile CPUs designs are beginning to approach a power wall.

Excessive Power Consumption

15

16

Nor

mal

ized

Ener

gy

0

0.2

0.4

0.6

0.8

1

1.2

1.4

Year2009 2010 2011 2012 2013 2014 2015

Coremark SPEC Bleh

16

Nor

mal

ized

Ener

gy

0

0.2

0.4

0.6

0.8

1

1.2

1.4

Year2009 2010 2011 2012 2013 2014 2015

Coremark SPEC Bleh

16

Nor

mal

ized

Ener

gy

0

0.2

0.4

0.6

0.8

1

1.2

1.4

Year2009 2010 2011 2012 2013 2014 2015

Coremark SPEC Bleh

16

Nor

mal

ized

Ener

gy

0

0.2

0.4

0.6

0.8

1

1.2

1.4

Year2009 2010 2011 2012 2013 2014 2015

Coremark SPEC Bleh

Peak Energy Efficiency

16

Nor

mal

ized

Ener

gy

0

0.2

0.4

0.6

0.8

1

1.2

1.4

Year2009 2010 2011 2012 2013 2014 2015

Coremark SPEC Bleh

Peak Energy Efficiency

Mobile CPU performance improvements are in an era of energy efficiency stagnation.

16

HardwareSoftware Mobile DeviceProcessorApplicationsEnd-Users

SatisfactionPe

rfor

man

cePower Consumption

Pow

er B

udge

ts

BottlenecksFe

atur

es

Incorporating the End-User

17

HardwareSoftware Mobile DeviceProcessorApplicationsEnd-Users

Satisfaction Perf

orm

ance

Power Consumption

Pow

er B

udge

ts

BottlenecksFe

atur

es

Incorporating the End-User

17

HardwareSoftware Mobile DeviceProcessorApplicationsEnd-Users

Satisfaction Perf

orm

ance

Power Consumption

Pow

er B

udge

ts

BottlenecksFe

atur

es

Incorporating the End-User

17

HardwareSoftware Mobile DeviceProcessorApplicationsEnd-Users

Satisfaction Perf

orm

ance

Power Consumption

Pow

er B

udge

ts

BottlenecksFe

atur

es

Incorporating the End-User

?17

HardwareSoftware Mobile DeviceProcessorApplicationsEnd-Users

Satisfaction Perf

orm

ance

Power Consumption

Pow

er B

udge

ts

BottlenecksFe

atur

es

Incorporating the End-User

17

Have mobile CPU advancements improved end-user satisfaction?

18

Have mobile CPU advancements improved end-user satisfaction?

1. Is single-core performance necessary?

18

Have mobile CPU advancements improved end-user satisfaction?

1. Is single-core performance necessary?2. Is multi-core performance necessary?

18

Have mobile CPU advancements improved end-user satisfaction?

1. Is single-core performance necessary?2. Is multi-core performance necessary?3. Does graphics performance matter more

than CPU performance?

18

Studying user satisfaction requires users

19

Studying user satisfaction requires users

19

LOTS^

Leveraging the Crowd to Achieve Scale

20

Leveraging the Crowd to Achieve Scale

Over 25,000 participants!

20

Survey Design

21

Survey Design

21

Survey Design

21

Survey Design

21

Emulating the Mobile CPU Evolution

2009 2010 2011 2012 2013 2014

Year

22

Emulating the Mobile CPU Evolution

D

2009 2010 2011 2012 2013 2014

Year

22

Emulating the Mobile CPU Evolution

D S

2009 2010 2011 2012 2013 2014

Year

22

Emulating the Mobile CPU Evolution

D S N

2009 2010 2011 2012 2013 2014

Year

22

Emulating the Mobile CPU Evolution

D S N S3

2009 2010 2011 2012 2013 2014

Year

22

Emulating the Mobile CPU Evolution

D S N S3 S4

2009 2010 2011 2012 2013 2014

Year

22

Emulating the Mobile CPU Evolution

D S N S3 S4 S5

2009 2010 2011 2012 2013 2014

Year

22

Emulating the Mobile CPU Evolution

D S N S3 S4 S5

2009 2010 2011 2012 2013 2014

Year

1

Core

s

22

Emulating the Mobile CPU Evolution

D SN

S3 S4 S5

2009 2010 2011 2012 2013 2014

Year

12Co

res

22

Emulating the Mobile CPU Evolution

D SN

S3

S4 S5

2009 2010 2011 2012 2013 2014

Year

1234

Core

s

22

Emulating the Mobile CPU Evolution

D SN

S3 S4

S5

2009 2010 2011 2012 2013 2014

Year

1234

Core

s

22

Emulating the Mobile CPU Evolution

D SN

S3 S4 S5

2009 2010 2011 2012 2013 2014

Year

1234

Core

s

22

Emulating the Mobile CPU Evolution

D SN

S3 S4 S5

2009 2010 2011 2012 2013 2014

Year

1234

Core

s

22

Emulating the Mobile CPU Evolution

D SN

S3 S4 S5

1234

Core

s

422 729 1036 1497 1958 2457

S5 Clock Frequency

22

Emulating the Mobile CPU Evolution

D SN

S3 S4 S5

1234

422 729 1036 1497 1958 2457

S5 Clock Frequency

S5 C

ores

Ena

bled

22

Putting the Pieces TogetherRecord User Parametrized Replay Post Survey Crowdsource

23

Putting the Pieces TogetherRecord User Parametrized Replay Post Survey Crowdsource

23

Putting the Pieces TogetherRecord User Parametrized Replay Post Survey Crowdsource

23

Putting the Pieces Together

CPU Frequency

CPU Cores

GPU Frequency

Record User Parametrized Replay Post Survey Crowdsource

23

Putting the Pieces TogetherRecord User Parametrized Replay Post Survey Crowdsource

23

Putting the Pieces TogetherRecord User Parametrized Replay Post Survey Crowdsource

23

Putting the Pieces TogetherRecord User Parametrized Replay Post Survey Crowdsource

23

Putting the Pieces TogetherRecord User Parametrized Replay Post Survey Crowdsource

23

Putting the Pieces TogetherRecord User Parametrized Replay Post Survey Crowdsource

23

Putting the Pieces TogetherRecord User Parametrized Replay Post Survey Crowdsource

23

Do we need single-core performance?

24

Do we need single-core performance?

24

Do we need single-core performance?

24

Do we need single-core performance?

24

Do we need single-core performance?

24

Do we need single-core performance?

24

Do we need single-core performance?

24

Do we need single-core performance?

24

Do we need single-core performance?

24

Do we need single-core performance?

24

Do we need single-core performance?

24

User satisfaction is latency-critical. Single-core CPU performance enhancements have been crucial to the end-user.

Do we need multi-core performance?

25

Do we need multi-core performance?

25

Do we need multi-core performance?

25

Do we need multi-core performance?

25

Do we need multi-core performance?

25

Do we need multi-core performance?

25

Do we need multi-core performance?

25

Do we need multi-core performance?

25

Do we need multi-core performance?

25

Do we need multi-core performance?

25

Do we need multi-core performance?

25

Multi-threading is being used for user-critical functionalities. Multiple CPU cores can provide benefit to the end user.

Does graphics performance matter more than CPU performance?

26

Does graphics performance matter more than CPU performance?

26

Does graphics performance matter more than CPU performance?

26

Does graphics performance matter more than CPU performance?

26

Does graphics performance matter more than CPU performance?

26

Does graphics performance matter more than CPU performance?

26

Does graphics performance matter more than CPU performance?

26

Does graphics performance matter more than CPU performance?

26

Does graphics performance matter more than CPU performance?

Even amongst applications that make use of the GPU and other accelerators, end-users are sensitive to CPU performance.

26

HardwareSoftware Mobile DeviceProcessorApplicationsEnd-Users

SatisfactionPe

rfor

man

cePower Consumption

Pow

er B

udge

ts

BottlenecksFe

atur

es

At the Mercy of Power Constraints

27

HardwareSoftware Mobile DeviceProcessorApplicationsEnd-Users

Satisfaction Perf

orm

ance

Power Consumption

Pow

er B

udge

ts

BottlenecksFe

atur

es

At the Mercy of Power Constraints

27

HardwareSoftware Mobile DeviceProcessorApplicationsEnd-Users

Satisfaction Perf

orm

ance

Power Consumption

Pow

er B

udge

ts

BottlenecksFe

atur

es

At the Mercy of Power Constraints

27

HardwareSoftware Mobile DeviceProcessorApplicationsEnd-Users

Satisfaction Perf

orm

ance

Power Consumption

Pow

er B

udge

ts

BottlenecksFe

atur

es

At the Mercy of Power Constraints

27

HardwareSoftware Mobile DeviceProcessorApplicationsEnd-Users

Satisfaction Perf

orm

ance

Power Consumption

Pow

er B

udge

ts

BottlenecksFe

atur

es

At the Mercy of Power Constraints

27

HardwareSoftware Mobile DeviceProcessorApplicationsEnd-Users

Satisfaction Perf

orm

ance

Power Consumption

Pow

er B

udge

ts

BottlenecksFe

atur

es

At the Mercy of Power Constraints

27

How has the rest of the mobile device evolved around the CPU?

28

Sharing the Power Budget: Device-level

29

Pow

er (w

atts

)

0

2

4

6

8

Year

2009 2010 2011 2012 2013 2014 2015

Display RadioCPU: Single-core CPU: Multi-core

Sharing the Power Budget: Device-level

29

Pow

er (w

atts

)

0

2

4

6

8

Year

2009 2010 2011 2012 2013 2014 2015

Display RadioCPU: Single-core CPU: Multi-core

Sharing the Power Budget: Device-level

29

Pow

er (w

atts

)

0

2

4

6

8

Year

2009 2010 2011 2012 2013 2014 2015

Display RadioCPU: Single-core CPU: Multi-core

Sharing the Power Budget: Device-level

29

Pow

er (w

atts

)

0

2

4

6

8

Year

2009 2010 2011 2012 2013 2014 2015

Display RadioCPU: Single-core CPU: Multi-core

Sharing the Power Budget: Device-level

29

Pow

er (w

atts

)

0

2

4

6

8

Year

2009 2010 2011 2012 2013 2014 2015

Display RadioCPU: Single-core CPU: Multi-core

Sharing the Power Budget: Device-level

29

Pow

er (w

atts

)

0

2

4

6

8

Year

2009 2010 2011 2012 2013 2014 2015

Display RadioCPU: Single-core CPU: Multi-core

Thermal Throttling

Sharing the Power Budget: Device-level

29

Mobile SoC: Unsustainable By Design

30

Repo

rted

TDP

(wat

ts)

0

3

6

9

12

Phone

Galaxy S5 Galaxy S6

CPU: A15 CPU: A7 GPU Other

Mobile SoC: Unsustainable By Design

30

Repo

rted

TDP

(wat

ts)

0

3

6

9

12

Phone

Galaxy S5 Galaxy S6

CPU: A15 CPU: A7 GPU Other

Mobile SoC: Unsustainable By Design

30

Repo

rted

TDP

(wat

ts)

0

3

6

9

12

Phone

Galaxy S5 Galaxy S6

CPU: A15 CPU: A7 GPU Other

Mobile SoC: Unsustainable By Design

30

Repo

rted

TDP

(wat

ts)

0

3

6

9

12

Phone

Galaxy S5 Galaxy S6

CPU: A15 CPU: A7 GPU Other

Mobile SoC: Unsustainable By Design

30

Repo

rted

TDP

(wat

ts)

0

3

6

9

12

Phone

Galaxy S5 Galaxy S6

CPU: A15 CPU: A7 GPU Other

Mobile SoC: Unsustainable By Design

30

Repo

rted

TDP

(wat

ts)

0

3

6

9

12

Phone

Galaxy S5 Galaxy S6

CPU: A15 CPU: A7 GPU Other

3.5 W TDP Budget

Mobile SoC: Unsustainable By Design

30

HardwareSoftware Mobile DeviceProcessorApplicationsEnd-Users

SatisfactionPe

rfor

man

cePower Consumption

Pow

er B

udge

ts

CapabilitiesFe

atur

es

Tying It All Together

31

A Call to Action

Mobile Device

Processor

Applications

End-Users

32

A Call to ActionUse metrics that incorporate end-user

Mobile Device

Processor

Applications

End-Users

32

A Call to ActionUse metrics that incorporate end-user

Mobile Device

Processor

Applications

End-Users

Identify user-critical application segments

32

A Call to ActionUse metrics that incorporate end-user

Understand application characteristics

Mobile Device

Processor

Applications

End-Users

Identify user-critical application segments

32

A Call to ActionUse metrics that incorporate end-user

Understand application characteristics

Deviate from desktop scaling and embrace the era specialization

Mobile Device

Processor

Applications

End-Users

Identify user-critical application segments

32

A Call to ActionUse metrics that incorporate end-user

Understand application characteristics

Deviate from desktop scaling and embrace the era specialization

Consider thermal and energy constraints at the mobile-device level

Mobile Device

Processor

Applications

End-Users

Identify user-critical application segments

32

Thank You!

33

8

6

4

2

0

Cor

e C

ount

2006

2007

2008

2009

2010

2011

2012

2013

2014

2015

Year

213

29

25

21

Cac

he S

ize

(KB)

2006

2007

2008

2009

2010

2011

2012

2013

2014

2015

Year

L1: Both L2: Mobile L2: Desktop L3: Desktop

5

4

3

2

1

0Clo

ck F

requ

ency

(GH

z)

2006

2007

2008

2009

2010

2011

2012

2013

2014

2015

Year

Desktop-like CPU Scaling

Clock Scaling Resource Scaling Core Scaling

34

Other Applications

Application Selection Criteria

http://www.anandtech.com/show/9780/taking-notes-with-ipad-pro/2

Apple SoCs

http://www.anandtech.com/show/9686/the-apple-iphone-6s-and-iphone-6s-plus-review/4

Apple CPUs

http://techreport.com/r.x/2014_8_11_Nvidia_claims_Haswellclass_performance_for_Denver_CPU_core/denver-block.jpg

Crowdsourcing Considerations

Crowdsourcing Considerations

Crowdsourcing Considerations

Task Design

Crowdsourcing Considerations

Task Design▹ Open-ended question

Crowdsourcing Considerations

Task Design▹ Open-ended question

▹ Well-defined answers

Crowdsourcing Considerations

Task Design▹ Open-ended question

▹ Well-defined answers Worker Recruitment and Incentive

Crowdsourcing Considerations

Task Design▹ Open-ended question

▹ Well-defined answers Worker Recruitment and Incentive▹ $0.10 / task

Crowdsourcing Considerations

Task Design▹ Open-ended question

▹ Well-defined answers Worker Recruitment and Incentive▹ $0.10 / task

▹ $8.00 / hour wage

Crowdsourcing Considerations

Task Design▹ Open-ended question

▹ Well-defined answers Worker Recruitment and Incentive▹ $0.10 / task

▹ $8.00 / hour wageData Integrity

Crowdsourcing Considerations

Task Design▹ Open-ended question

▹ Well-defined answers Worker Recruitment and Incentive▹ $0.10 / task

▹ $8.00 / hour wageData Integrity▹ Scale of trials (> 50 trials / configuration)

Crowdsourcing Considerations

Task Design▹ Open-ended question

▹ Well-defined answers Worker Recruitment and Incentive▹ $0.10 / task

▹ $8.00 / hour wageData Integrity▹ Scale of trials (> 50 trials / configuration)

▹ Validation keyword prevents scripters

Phone Mapping

Other CPUs and Benchmarks: Perf

11

9

7

5

3

1

Nor

mal

ized

Spe

edup

D S N S3 S4 S5 S6Smartphone Model

SPEC Coremark Sunspider Geekbench Stream

Stock IP Custom IP

Other CPUs and Benchmarks: Power

2.5

2.0

1.5

1.0

0.5

0.0

Dyn

amic

Pow

er (W

)

D S N S3 S4 S5 S6Smartphone Model

Other CPUs and Benchmarks: Energy

1.0

0.8

0.6

0.4

0.2

0.0

Nor

mal

ized

Ene

rgy

D S N S3 S4 S5 S6Smartphone Model

Recommended