97
UNIVERSIDAD DE LA COSTA CUC TALLER N°2 DE CIRCUITOS DIGITALES TEMA: CONCEPTOS DIGITALES-CONPUESTAS LOGICAS INTEGRALES PRESENTADO A: RONALT ZAMORA PRESENTADO POR: JAVIER CASERES NAVARRO KEILER DAVID MARICHAL MELVIS HORTA DE ALBA

Analisis_._1_

Embed Size (px)

Citation preview

Page 1: Analisis_._1_

UNIVERSIDAD DE LA COSTA CUC

TALLER N°2 DE CIRCUITOS DIGITALES

TEMA:

CONCEPTOS DIGITALES-CONPUESTAS LOGICAS INTEGRALES

PRESENTADO A:

RONALT ZAMORA

PRESENTADO POR:

JAVIER CASERES NAVARRO

KEILER DAVID MARICHAL

MELVIS HORTA DE ALBA

7 DE ABRIL DE 2013 – BARRANQUILLA.

Page 2: Analisis_._1_

TABLA DE CONTENIDO

PAG.

INTRODUCCION

FUNCIONES DE LA LÓGICA COMBINACIONAL Comparadores………………………………………………………………………………………………………………………… Decodificadores………………………………………………………………………………………………………………………… Codificadores……………………………………………………………………………………………………………………………Multiplexores………………………………………………………………………………………………………………………Demultiplexores………………………………………………………………………………………………………………….. CONTADORES Asíncrono……………………………………………………………………………………………………………………………. Síncrono………………………………………………………………………………………………………………………………. REGISTROS DE DESPLAZAMIENTO Entrada/Salida Serie……………………………………………………………………………………………………………… Entrada Serie/Salida Paralelo……………………………………………………………………………………………. Entrada Paralelo/Salida Serie ………………………………………………………………………………………………Entrada/Salida Paralelo…………………………………………………………………………………………………… Bidireccionales……………………………………………………………………………………………………………….MEMORIAS RAM…………………………………………………………………………………………………………………………………. ROM………………………………………………………………………………………………………………………………….PROM……………………………………………………………………………………………………………………………… EPROM……………………………………………………………………………………………………………………. Flash…………………………………………………………………………………………………………………………… Ópticas y Magnéticas………………………………………………………………………………………………….. Discos Duros………………………………………………………………………………………………………………. USB……………………………………………………………………………………………………………………………............................... INTERFACES Conversión Digital-Analógica (D/A)…………………………………………………………………………………........ Conversión Digital-Analógica (D/A)………………………………………………………………………………… MICROPROCESADORES Familia de Microprocesadores……………………………………………………………………………………… Arquitectura de Microprocesadores………………………………………………………………………………

La Unidad Central de Proceso (CPU)…………………………………………………………………………….. Puertos de Entrada y Salida…………………………………………………………………………………………. Interrupciones……………………………………………………………………………………………………………….. DMA……………………………………………………………………………………………………………………………. Microprocesadores Modernos……………………………………………………………………………………. FPGA………………………………………………………………………………………………………………………….. TECNOLOGÍAS DE LOS CIRCUITOS INTEGRADOS Circuitos CMOS…………………………………………………………………………………………………………………. Circuitos TTL…………………………………………………………………………………………………………………….Comparaciones CMOS y TTL……………………………………………………………………………………………….Conexión entre CMOS y TTL ……………………………………………………………………………………………….Compuertas Lógicas por dentro………………………………………………………………………………………….. TEMPORIZADOR 555 Funcionamiento y elementos Básicos…………………………………………………………………………………………. Distintas configuraciones……………………………………………………………………………………………………………Cálculos de valores en 555………………………………………………………………………………………………………… Diseño con 555………………………………………………………………………………………………………………………… Aplicaciones………………………………………………………………………………………………………………………

Page 3: Analisis_._1_

INTRODUCCION:

Los circuitos integrados (CI) son la base fundamental del desarrollo de la electrónica en la actualidad, debido a la tendencia a facilitar y economizar las tareas del ser humano.Por ello es fundamental el manejo del concepto de circuito integrado (CI), no sólo por aquellos que están en contacto habitualmente con ellos, sino también por las personas en general, debido a que este concepto debe de quedar inmerso dentro de los conocimientos mínimos de una persona. Un circuito integrado es una pieza o cápsula que generalmente es de silicio o de algún otro material semiconductor, que utilizando las propiedades de los semiconductores, es capaz de hacer las funciones realizadas por la unión en un circuito, de varios elementos electrónicos, como: resistencias, condensadores, transistores, etc. Dentro de los temas que veremos en este trabajo también encontraremos temas como funciones de la Lógica combinaciones, comparadores, decodificadores, codificadores, multiplexores, demultiplexores los cuales realizan diferentes funciones del sistema como tal constituidos internamente por puertas lógicas. Los multiplexores nos permiten seleccionar entre uno de varios canales de entrada (tuberías) para sacarlo por la salida. Por ello disponen de unas entradas de datos (por donde entra el “agua”), unas entradas de selección (Llaves de paso) y un canal de salida. Estos canales de datos pueden ser de varios bits, sin embargo, en este capítulo nos hemos centrado en los multiplexores que tienen canales de datos de 1 bits, puesto que a partir de ellos podemos construir multiplexores con canales de datos de mayor cantidad de bit, así como multiplexores que tienen mayor cantidad de canales de entrada. También hemos visto los demultiplexores, que realizan la función inversa. Un canal de entrada (tubería) se puede conectar a una de las diferentes salidas, según el valor introducido por las entradas de selección (llaves de paso).Los multiplexores pueden tener opcionalmente una entrada de validación, que puede ser activa a nivel alto o a nivel bajo y actúa como una especie de interruptor que permite que el multiplexor funcione o no. Si está activada, el multiplexor funciona normalmente. Si la entrada de validación está desactivada, por la salida del multiplexor siempre hay un ’0’. Por último hemos visto que con un multiplexor también se pueden implementar funciones lógicas, y es otra alternativa que tenemos además de las puertas lógicas. Mediante el método de las tablas de verdad, podemos saber fácilmente qué variables hay que conectar a las entradas del multiplexor Contadores asíncronos y síncrono

La mayoría de las computadoras personales tienen un disco duro no removible. Además usualmente hay una o dos unidades de disco flexible, las cuales le permiten usar discos flexibles removibles. El disco duro normalmente puede guardar muchos más datos que un disco flexible y por eso se usa disco duro como el archivero principal de la computadoras. Los discos flexibles se usan para cargar programas o archivos nuevos, o datos al disco duro, intercambiar datos con otros usuarios o hacer una copia de respaldo de los datos que están en el disco duro, Una computadora puede leer y escriben información en un disco duro mucho más rápido que en el disco flexible. La diferencia de velocidad se debe a que un disco duro está construido con elementos o materiales más pesados, gira mucho más rápido que un disco flexible y está sellado dentro de una cámara de aire, las partículas de polvo no pueden entrar en contacto con las cabezas. La memorización consiste en la capacidad de registrar sea una cadena de caracteres o de instrucciones programas y tanto volver a incorporarlo en determinado proceso o proceso como ejecutarlo bajo ciertas circunstancias El computador dispone de varios dispositivos de memorización: La memoria ROM La memoria RAM Los dispositivos externos. Un aspecto importante de la memorización es la capacidad de hacer ese registro en medios permanentes, básicamente los llamados "archivos" grabados en disco El acumulador y seguimos con el repaso a los sistemas de registro de desplazamiento se pueden utilizar para convertir datos de un formato puede ser un ejemplo de un formato paralelo a un formato serial.

OBJETIVOS:

Page 4: Analisis_._1_

! ESTUDIAR FUNCIONES DE LA LÓGICA COMBINACIONALDE DISPOSITIVOS LÓGICOS PROGRAMABLES.

!CONOCER LA ESTRUCTURA BÁSICA REGISTROS DE DESPLAZAMIENTO LA MATRIZ AND, SE GENERAN LAS FUNCIONES LÓGICAS ESTÁNDAR. !CONOCER LA ESTRUCTURA BÁSICA DE UNA TTL Y CMAC LA MATRIZ AND, SE GENERAN FUNCIONES LÓGICAS ESTÁNDAR. !CONOCER LA ARQUITECTURA BÁSICA DE LAS MEMORIAS !CONOCER LA ARQUITECTURA BÁSICA DE LA TECNOLOGÍAS DE LOS CIRCUITOS INTEGRADOS

MARCO TEORICO:

1) Análisis de sistemas combinacionales

Comparadores Decodificadores Codificadores Multiplexores

Demultiplexores

R//:

1. DEFINICIÓN DE CIRCUITO COMBINACIONAL

Un circuito combinacional es aquel que está formado por funciones lógicas elementales ( AND, OR, NAND, NOR, etc. ), que tiene un determinado número de entradas y salidas, dependiendo los valores que toman las salidas exclusivamente de los que toman las entradas en ese instante.

Page 5: Analisis_._1_

Ejemplo de este tipo de circuitos son : los codificadores, decodificadores, multiplexores, demultiplexores, comparadores, generadores-detectores de paridad, etc.

Ejemplos de sistemas lógicos combinacionales:

Codificadores, decodificadoresMultiplexores, demultiplexoresComparadores, detectores de paridad

LOCALIZACIÓN DE AVERÍAS:

La localización de averías es el proceso de reconocer, aislar y corregir un fallo en un sistema o circuito. Para poder localizar las averías de forma efectiva, debe entender cómo se supone que trabaja el circuito o sistema y debe estar en disposición de reconocer un funcionamiento incorrecto. Por ejemplo,

Para determinar si una puerta lógica tiene un fallo, debe saber cuál debe ser la salida para unas entradas dadas.

2. ANÁLISIS DE CIRCUITOS COMBINACIONALES

En este punto se trata el análisis de circuitos combinacionales a nivel de puertas lógicas. La estructura del circuito vendrá dada por su diagrama lógico, cuyos constituyentes serán puertas lógicas cuyo comportamiento lo determina el símbolo que lo representa

Figura 1. Circuito combinacinal

Un circuito combinacional se analiza determinando la salida de los elementos lógicos que lo constituyen (normalmente puertas lógicas), partiendo de las variables de entrada y avanzando en el sentido de la señal hacia la salida.

Figura 2.

3. SÍNTESIS Y DISEÑO DE CIRCUITOS COMBINACIONALES

Page 6: Analisis_._1_

A continuación se intentan exponer las fases que se siguen en el proceso de síntesis y diseño de circuitos combinacionales:

Definición de la función a realizar y especificación de las entradas y salidas. Tabla de la verdad. Ecuaciones lógicas de las salidas. Simplificación de las ecuaciones. Realización o implementación de las ecuaciones simplificadas mediante puertas lógicas.

3.1. Implementación con puertas AND,OR,NOT

La implementación con puertas AND, OR y NOT se realiza en el último paso del apartado anterior, sustituyendo las operaciones básicas que aparecen en la expresión lógica de mi circuito digital, de suma lógica, producto lógico y complementación. Estas operaciones se sustituyen por su representación simbólica obteniendo la realización del circuito mediante puertas lógicas.

Un ejemplo: Una vez realizado mi diseño he obtenido la siguiente

función , siendo F la salida del circuito, y A,B,C las entradas.

Figura 3. Implementación con puertas AND, OR Y NOT

Ahora solamente queda interconexionar los términos anteriores con la entrada B, mediante sumas (OR).Luego el circuito completo queda:

Figura 4. Implementación total del circuito con puertas AND, OR Y NOT

3.2. Implementación con puertas NAND y NOR

Page 7: Analisis_._1_

Para implementar circuitos digitales solamente con puertas NAND o NOR debo conocer como implemento las operaciones básicas AND, OR y NOT, mediante el uso exclusivo de NAND o NOR.

Figura 5.

La puerta NOT se puede obtener o es equivalente mediante NAND o NOR (se justifica con las tablas de verdad), conectando ambas entradas:

Síntesis con NAND :

Figura 6.

Síntesis con NOR :

Figura 7.

Si quisiéramos implementar el circuito de la figura 1, por ejemplo, con puertas NOR/NAND sustituiríamos cada puerta AND, OR y NOT por su equivalencia según hemos visto anteriormente, eliminando posteriormente las situaciones redundantes.

4. CODIFICADORES

Page 8: Analisis_._1_

Son sistemas combinacionales con m £ 2n entradas y n salidas que se encargan de transformar una serie de señales sin codificar en un conjunto que responda a un código.

4.1. Codificadores sin prioridad

Su cometido es la generación de un número binario sobre su n salida que identifique cuál de las entradas está activado (ver siguiente figura 8).

Figura 8. Codificador

Como no hay nada que impida el que se activen simultáneamente varias líneas de entrada de un codificador, los circuitos codificadores se diseñan normalmente para que respondan a una sola señal de entrada activa, dando lugar a los codificadores con prioridad.

El codificador de la figura 7 corresponde a un codificador de octal a binario sin prioridad, cuya tabla de verdad es la siguiente:

1 0 0 0 0 0 0 0

0 1 0 0 0 0 0 0

0 0 1 0 0 0 0 0

0 0 0 1 0 0 0 0

0 0 0 0 1 0 0 0

0 0 0 0 0 1 0 0

0 0 0 0 0 0 1 0

0 0 0 0 0 0 0 1

0 0 0

0 0 1

0 1 0

0 1 1

1 0 0

1 0 1

1 1 0

1 1 1

Las funciones lógicas para las salidas, a partir de la tabla de verdad anterior y entrada ENABLE (E) para activar la entrada cuando vale 1 o inhibirla cuando vale 0, quedan:

Page 9: Analisis_._1_

A2 = ( B4 +B5 + B6 + B7 ) E

A1 = ( B2 +B3 + B6 + B7 ) E

A0 = ( B1 +B3 + B5 + B7 ) E

Siendo la función lógica: F = ( B0 + B1 + B2 +B3 + B4 + B5+ B6 + B7 ) E , una señal de salida especial que se activa cuando todas las señales de entrada son nulas y E=1.

4.2. Codificadores con prioridad

Para obtener codificadores que respondan a una sola señal de entrada activa, se le asigna valores fijos de prioridad a las líneas de entrada, de forma que en cada instante sólo se genera el código de salida de la entrada activa que tenga la máxima prioridad.

Figura 9. Símbolo de un codificador 74148 con prioridad de 8 líneas a 3 líneas

Figura 10. TABLA DE VERDAD del codificador con prioridad

Por tanto, en la salida del codificador aparecerá el mayor número i, tal que Bi esté activada (si hemos impuesto en el diseño el criterio de prioridad a la entrada más alta).

Page 10: Analisis_._1_

Figura 11.

La EI (negada) es la señal ENABLE, las Ii (negadas) entradas, Ai (negadas) salidas, EO (negada) señal de salida especial que se activa cuando todas las señales de entrada son nulas y GS (negada) señal de grupo que se activa cuando EI (negada) = L y hay petición de servicio.

El codificador 74148 se trata de un codificador con prioridad cuyas entradas y salidas son activas a nivel bajo. Esto significa que las entradas se activan con ceros lógicos y las salidas aparecen complementadas. Una entrada o salida activa a nivel bajo se simboliza en los diagramas lógicos ( figura 8 ), en lógica positiva, insertando un pequeño círculo . También se suele indicar que la línea es activa a nivel bajo poniendo la correspondiente negada (ver siguiente figura 12).

Figura 12. Ejemplo de indicación de líneas a nivel bajo

5. DECODIFICADORES

Un decodificador es un circuito combinacional con n entradas y m 2n salidas. Para cada una de las 2n posibles configuraciones binarias que se pueden presentarse en su entrada, el sistema pone a 1 una y sólo una de las salidas, de acuerdo con la siguiente regla: si la configuración binaria presente en las entradas forma el número binario i, entonces se activa la salida i-ésima.

Según esto el funcionamiento de un decodificador es el opuesto al de un codificador.

5.1. Decodificadores BCD-DECIMAL y Excitador BCD-7 segmentos

En el decodificador BCD-DECIMAL, n=4 y m=10 (ver figura 13 siguiente)

Page 11: Analisis_._1_

Figura 13.

Obteniendo las expresiones lógicas de la tabla de verdad anterior, podremos realizar la síntesis del circuito combinacional mediante puertas lógicas (ver figura 14):

Figura 14 . Síntesis mediante puertas del decodificador BCD-DECIMAL CD4028BC

En el Excitador BCD-7 segmentos es un tipo de decodificador que me da la posibilidad de conectarlo a un display numérico digital de 7 segmentos a, b, c, d, e, f y g (figura 15), y obtener la visualización del código binario BCD:

Figura 15. Display numérico digital de 7 segmentos

Page 12: Analisis_._1_

Todas las posibilidades de salida por el display son las siguientes:

Figura 16. Salidas posibles del display numérico digital de 7 segmentos

Si identificamos cada segmento cuando debe estar activo o no podemos obtener la tabla de verdad:

Figura 17 .tabla de verdad del Excitador BCD-7 segmentos

Nota: para interpretar la tabla anterior no tener en cuenta las entradas LE, BI y LT (negadas) cuya función es otra y no aporta nada a lo que estamos viendo ahora.

Ahora obtendría las expresiones lógicas mediante minterms/maxterms y las implementaría con puertas lógicas.

5.2. Implementación de funciones lógicas con decodificadores

Un decodificador genera en sus salidas, todos los minterms de un número de variables igual al número de líneas de entrada. Por tanto, con un decodificador de n entradas-2n salidas y puertas OR, se pueden implementar funciones lógicas de n variables (también podría tomar los ceros de la función y utilizaría puertas NOR ). Veamos un ejemplo:

Figura 18. Implementación de función lógica con decodificador

Si el decodificador tuviera sus salidas activas a nivel bajo, se generan los minterms negados luego en vez de puertas OR debo usar NAND.

Page 13: Analisis_._1_

6. MULTIPLEXORES Y DEMULTIPLEXORES

6.1. Multiplexores

_ Un multiplexor es un sistema digital que consta de varias entradas y una salida, y mediante un mecanismo de selección, una determinada entrada se transfiere a la salida.

Figura 19. Multiplexor y su tabla de verdad

Una definición más formal de multiplexor sería la de un circuito combinacional con n entradas de selección o control (a, b,.. ), 2n entradas de datos (K1 , K2 , ... ) y una salida Z. Los datos de la se rutan desde la entrada de datos cuyo número de orden coincide con el número binario puesto en la entrada de selección hacia la salida (ver figura 20)

Figura 20. Selección de la entrada de datos del multiplexor

La síntesis con puertas lógicas se realiza obteniendo la expresión lógica de la salida Z, obteniendo:

Figura 21.

Es importante reseñar que algunos multiplexores presentan entradas ENABLE o STROBE para dar permiso de funcionamiento o no al multiplexor.

6.2. Demultiplexores

Page 14: Analisis_._1_

El funcionamiento es el contrario al del multiplexor, encauzando los datos desde una fuente común de entrada hacia uno de 2n destinos de salida.

Por tanto, un demultiplexor es un circuito combinacional con una entrada J, n entradas de selección y 2n salidas.

Es importante comentar que los demultiplexores pueden trabajar como decodificadores. Vamos a explicar cómo se consigue esto con un ejemplo: supongamos que tenemos un DEMUX 1:4 (una J entrada a cuatro salidas), si hago la entrada J=1 siempre activa, transformo el funcionamiento a un DECODIFICADOR 2:4, actuando ahora las señales de selección (a, b,... ) como entrada de código a decodificar y las salidas como salidas del código decodificado.

Figura 22. Demux actuando como decodificador

La síntesis con puertas sería, partiendo de su tabla de verdad :

Figura 23. Síntesis con puertas de un demultipexor/decodificador

6.3. Implementación de funciones lógicas con multiplexores

Viendo un ejemplo, podremos ver como se realiza la síntesis, como voy a utilizar un MUX 4:1 tengo 2 entradas de selección. Por tanto, elijo de la función lógica a implementar 2 de las variables como señales de selección y determino cual debe ser las entradas del multiplexor con la que me queda

Page 15: Analisis_._1_

Figura 24 .Implementación de funciones lógicas con multiplexores

Si tuviera más de 3 variables lógicas para este caso de MUX 4:1 necesitaría usar más de un multiplexor. Es importante decir que la elección de las variables que van a actuar de señales de selección es indiferente y muchas se toman unas u otras simplemente por motivo de diseño.

Figura 25.

http://ocw.usal.es/eduCommons/ensenanzastecnicas/electronica/contenido/electronica/Tema8_CircCombinacionales.pdf

http://www.profesormolina.com.ar/electronica/componentes/int/sist_comb.htm

http://www.virtual.unal.edu.co/cursos/ingenieria/2000477/lecciones/030801.htm

http://publidisa.com/PREVIEW-LIBRO-9788490351284.pdf

2) Contadores :

Asíncrono Síncrono

R//:

Definición:Se denomina contador todo circuito secuencial capaz de almacenar en cada momento el número de pulsos aplicados a una determinada entrada del circuito.Están realizados con slip-flops S-R, J-K- D o T encadenados, dependiendo del número la cantidad de pulsos que puede almacenar.Aunque los contadores electrónicos presentan gran número de variantes, todos ellos pueden reducirse a dos tipos fundamentales:• Contador asíncrono o contador serie.• Contador asíncrono o contador paralelo.

Page 16: Analisis_._1_

El contador asíncrono está constituido por un conjunto de slip-flops en los que los pulsos que se aplican a la entrada, generalmente procedentes de un reloj, deben atravesar el primer slip-flop antes de poder mandar a el segundo y así sucesivamente hasta el último slip-flop.El contador síncrono está formado por una serie de slip-flops a los que se les aplica simultáneamente todas las entradas o pulsos de reloj de forma que todos los slip-flopscambian simultáneamente de estado.Los contadores asíncronos son más lentos que los síncronos, ya que en estos últimos se suprimen los tiempos de propagación entre las diversas etapas del contador. Por otra parte, la circuitería de un circuito síncrono es, con las mismas características de funcionamiento, más compleja que la de un contador asíncrono.

CARACTERISTICAS IMPORTANTES

1. Un número máximo de cuentas (módulo del contador)2. Cuenta ascendente o descendente.3. Operación síncrona o asíncrona.4. Autónomos o de autodetención.

UTILIDAD

Se utilizan para contar eventos.Ejemplos:

1. número de pulsos de reloj.2. medir frecuencias.3. Se utilizan como divisores de frecuencia y para almacenar datos. Ejemplo: en un

reloj digital.4. Se utilizan para direccionamiento secuencial y algunos circuitos aritméticos.

1. Contadores asíncronos de rizos.Este tipo de contadores donde cada salida del flip-flop sirve como señal de entrada CLK para el siguiente flip-flop, estos contadores no cambian de estado todos juntos por lo que se dice que no están en sincronía, solo el primer flip flop responde a los pulsos del reloj ,luego para que al segundo flip-flop responda debe esperar que el primer flip-flop cambie de estado, y para que el tercer flip-flop se complemente debe esperar que el segundo flip-flop cambie de estado, y así sucesivamente con los demás flip-flop. Por lo tanto existe un leve retraso entre las respuestas de cada flip-flop, en los ff modernos este retraso es relativamente corto va del orden de los 10-40nsg.. En el diagrama lógico se muestra un contador asíncrono binario ascendente de tres bits diseñado a partir de flip-flop J-K en configuración toggle con disparo por borde de subida. Debido a que posee tres flip-flop, su ciclo básico se compone de ocho estados que van desde cero (000) hasta siete (111) en forma secuencial y repetitiva.También podemos observar la tabla de verdad del circuito donde Q2 es el termino más significativo.

Q2 Q1 Q0 N° de pulsos del reloj

0 0 0 0

0 0 1 1

0 1 0 2

0 1 1 3

1 0 0 4

Page 17: Analisis_._1_

1 0 1 5

1 1 0 6

1 1 1 7

Figura 26. Tabla contadores.

Asumiendo el estado inicial de la salida en 000, al llegar el primer pulso de reloj Q0 cambia de estado dicho cambio es detectado por el siguiente flip-flop (J2-K2) el cual considera que este cambio como su señal de reloj que lo hace cambiar de estado.

Figura 27.

. En el diagrama lógico se muestra un contador asíncrono binario ascendente de tres bits diseñado a partir de flip-flop J-K en configuración toggle con disparo por borde de subida. Debido a que posee tres flip-flops, su ciclo básico se compone de ocho estados que van desde cero (000) hasta siete (111) en forma secuencial y repetitiva.Asumiendo el estado inicial de la salida en 000, al llegar el primer pulso de reloj Q0 cambia de estado dicho cambio es detectado por el siguiente flip-flop (J2-K2) el cual considera que este cambio como su señal de reloj que lo hace cambiar de estado.2. Numero MOD.El contador de la figura anterior, tiene 8 estados diferentes del 000 al 111 por tanto se trata de un contador de rizos MOD 8, recordamos que el número MOD siempre es igual al número de estados por los cuales pasa el contador en cada ciclo completo antes que se recicle hacia su estado inicial.El número MOD lo podemos aumentar, simplemente aumentando el número de flip-flop al contador. Es decir el número MOD es igual a 2N donde N es el número de flip-flop conectados en la configuración anterior.3. División de frecuencia.En la figura podemos ver que en el contador básico cada flip-flop da una forma de onda de salida que es exactamente la mitad de la frecuencia de la onda de su entrada CLK. Supongamos que los pulsos de la señal del reloj es de 8Hz, así podemos ver que en la salida del primer flip-flop es de 4 Hz, la del segundo flip-flop es de 2Hz y él ultimo flip-flop 1 Hz.

Figura 28.

4. Contadores con número MOD <2NEl contador básico puede ser modificado para producir números MOD menores que 2N permitiendo que el contador omita estados que normalmente son partes de la secuencia de conteo. Unos delos métodos para lograr esto se encuentra en la figura, donde se muestra un contador de rizos de 3 BIT, descartando la compuerta nand por un momento, podemos observar que el contador es un contador binario MOD 8 que contara en secuencia de 000 111. Sin embargo la presencia de la compuerta nand alterara esta secuencia como sigue:

Page 18: Analisis_._1_

La salida de nand se conecta a las entradas asíncronas restablecer de cada flip-flop mientras que las salidas de nand sean altas, no tendrá efecto sobre el contador. Sin embargo cuando pase abajo borrara todos los flip-flop, de manera que el contador pase de inmediato al estado 000. Las entrada de la compuerta nand son las salidas de los flip-flip Q1 y Q0, de manera que la salida nand pasara bajo siempre que Q1=Q0= 1 esta condición ocurrirá cuando el contador pase por el estado 101 al 110. El estado bajo en la salida nand inmediatamente borrara el contador al estado 000. una vez que se hallan borrado los flip-flop, la salida de nand retornaran a alto porque la condición Q1=Q0= 1 ya no existe. La secuencia de conteo es por lo tanto.

Q0 Q1 Q2

0 0 0

0 0 1

0 1 0

0 1 1

1 0 0

1 0 1

1 1 0

Figura 29. Tabla contadores con numero MOD<2N

El estado 110 es un estado temporal que se necesita para limpiar el contador.Aunque el contador pasa por estado 110 solo permanece hay unos cuanto nanosegundos, antes de reciclarse al 000, de este modo podemos decir esencialmente que este contador cuenta de 000 (0) 101 (5) y se recicla a 000.En esencia, omite los estados 110 y 111 de manera que solamente pasa por 6 estados diferentes así se trata de un contador MOD 6.

Figura 30.

5. Variación del número MOD.El contador en la figura anterior es un MOD 6 debido a la elección de entradas en la compuerta nand. Se puede obtener cualquier número MOD que se desee cambiando estas entradas. Por ejemplo si se emplea una compuerta nand e tres entradas, el contador funcionaria normalmente hasta llegar al 111, en este punto regresaría de inmediato al estado 000. Ignorando la excursión temporal al estado 111 pasaría de 000 hasta 110, y luego regresaría a 000, produciendo un contador MOD 7.6. Contadores de décadas o contadores BCD.El contador MOD 10 se conoce también como contador de décadas. De hecho un contador de décadas es cualquier contador que tenga 10 estados diferentes independientemente de la secuencia. Estos también se denominan contadores BCD para reiterar lo dicho cualquier contador MOD 10 es un contador de décadas y cualquier contador de décadas que cuenta en binario de 0000 a 1001 es un contador BCD.

Page 19: Analisis_._1_

Los contadores de década especialmente los de tipo BCD, se utilizan ampliamente en aplicaciones donde los pulsos o sucesos van a ser contados y los resultados exhibidos en algún tipo de dispositivo de visualización numérica decimal. Un contador de décadas a menudo se utiliza también para dividir una frecuencia de pulsos exactamente entre 10.7. Contadores Asíncronos en CI.Existen varios contadores asíncronos en CI, tanto TTL como CMOS. Uno de ello es el TTL 74293. la figura muestra el diagrama lógico para el 74293. note los siguientes puntos: El 74293 tiene cuatro flip-flop J-K con salidas Q0 Q1 Q2 Q3, donde Q0 corresponde al LSB y Q3 MSB. La distribución de los flip-flops es tal que el LSB se encuentra en el extremo izquierdo para satisfacer la convención de que las señales de entrada al circuito aparezcan a la izquierda. Cada flip-flops tiene una entrada CP (pulso de reloj) que es solo otro nombre para la entrada CLK, se puede tener acceso externo a las entradas de reloj para Q0 Y Q!, marcadas como CP0 Y CP1. la barras de inversión sobre estas entradas indican que se activan por un TPN. Cada flip-flops tiene una entrada asíncrona borrar (CD). Estas se encuentran conectadas entre sí a la salida de una compuerta nand de dos entradas MR1 y MR2, donde MR denota reiniciación maestra. Ambas entradas MR deben estar altas para borrar el contador y ponerlo en 0000. Los flip-flops Q1, Q2, Q3, ya están conectados como un contador de rizos de tres BIT, el flip-flops Q0 no está conectado internamente a nada. Esto permite que el usuario opte por conectar Q0 a Q1 para formar un contador de 4 BIT o usar Q0 en forma separada si así lo desea.

Figura 31.

8. Símbolo IEEE/ANSI para el contador 74293.El símbolo contiene 3 bloques distintos. El bloque de la parte superior es el bloque común de control. La anotación CTR define este circuito integrado como un contador. Para el contador 74293, las entradas MR1 y MR2 son comunes para a todos los flip-flops del contador estas entradas MR1 y MR2 se muestran como entradas activas en alto combinadas internamente utilizando la operación la que está indicada por la notación “&” esto indica que MR1 y MR2 deben encontrarse al mismo tiempo en estado activo, para reestablecer el contador. La notación CT = 0 indica que la acción de las entradas MR es hacer que la cuenta de salida sea igual a cero.El bloque de en medio esta marcado con la etiqueta DIV 2 para señalar que este es un contador MOD 2 el cual claro esta es un solo flip-flops DIV 2 significa que el contador dividirá la frecuencia de reloj entre dos. El bloque de la parte inferior esta marcado con la etiqueta DIV 8 para señalar que este es un contador MOD 8. la anotación mas sobre cada entrada de reloj indica que la TPN del reloj ara que el valor de conteo se incremente en uno en otras palabras el contador cuenta en forma ascendente cada ves que se presenta una TPN. La notación menos se emplea para el contador descendente.

Figura 32.

Page 20: Analisis_._1_

9. Contador asíncrono CMOS.En la familia CMOS existen varios contadores asíncronos. La mayoría son equivalentes a las versiones TTL. Sin embargo existen varios contadores asíncronos de CI que no tiene contraparte en la familia TTL, uno de ellos es 74HC4024. Este CI es un contador de 7BIT con una entrada maestra de restablecimiento asíncrono. Los 7 flip-flops están conectados internamente como un contador de rizos MOD 128. La entrada MR es activa en alto y puede emplearse para reiniciar todos los flip-flops hacia el estado cero.

Figura 33.

10. Contador asíncrono descendente.Es relativamente simple construir contadores asíncronos descendentes os cuales contaran hacia abajo desde una cuenta máxima hasta cero. ahora examinaremos la secuencia de conteo para un contador de tres BIT

C B A

(7) 1 1 1

(6) 1 1 0

(5) 1 0 1

(4) 1 0 0

(3) 0 1 1

(2) 0 1 0

(1) 0 0 1

(0) 0 0 0

Figura 34.

A, B y C representan los estados de salidas del flip-flops cuando el contador recorre su secuencia puede observarse que el flip-flop A es LSB cambia de estado en cada etapa de la secuencia, como hace en el contador ascendente. El flip-flops B cambia de estado cada ves que A pasa de bajo a alto; C cambia de estado cada ves que B pasa de bajo a alto, de este modo en un contado descendente cada flip-flops, excepto el primero debe complementarse cuando el flip-flops anterior pase de bajo a alto.Los contadores descendentes no se utilizan tanto como los ascendentes. Su aplicación principal es en situaciones donde debe saberse cuando a ocurrido un numero deseado de pulsos de entrada. En estas situaciones el contador descendente se prefija al numero deseado y luego se le permite contar hacia abajo cuando se aplican los pulsos. Cuando el contador llega al estado cero es detectado por una compuerta lógica cuya salida indica que ha ocurrido el numero prefijado de pulsos.11. Contadores síncronos paralelos.El problema que se encuentra en los contadores de rizo es ocasionado por los retrasos acumulados en la propagación de los flip-flops dicho de otra manera no todos los flip-flops cambian en sincronía con los pulsos de entrada. Estas limitaciones pueden superarse con el uso de contadores síncronos o paralelos, en el que todos los flip flops se disparan en forma simultanea (en paralelo) por medio de los pulsos de reloj. Ya que los pulsos de entrada se aplican a todos los flip-flops debe utilizase algún medio para controlar cuando un flip-flops se dispare o permanezca inalterado por un pulso de reloj. Esto se logra utilizando la entradas J y K que en la siguiente figura para un contador MOD 16 de 4 BIT.

Page 21: Analisis_._1_

Figura 35.

12. Contador síncronos descendentes y ascendentes.Los contadores ascendentes / descendente (up/down) también llamados contadores bidireccionales; son capaces de avanzar en cualquier sentido a lo largo de una secuencia definida y puede invertir su conteo en cualquier punto de su secuencia.En el diagrama lógico se muestra un contador ascendente / descendente síncrono binario de tres bits diseñado a partir de flip-flops J-K en configuración toggle con disparo por borde de subida. Debido a que posee tres flip-flops, su ciclo básico se compone de ocho estados que van desde cero (000) hasta siete (111) en forma secuencial y repetitiva.

Figura 36.

. Figura 37.

Figura 38.

13. Contadores con preestablecimiento.Muchos contadores síncronos(paralelo) que están disponibles en CI están diseñados para ser prefijales; en otras palabras se pueden prefija r a cualquier valor inicial de conteo, ya sea en forma asíncrona (independientemente de la señal de reloj) o síncrona ( durante la transición activa de la señal de reloj) esta operación de prefijado también se conoce como carga del contador.14. Preestablecimiento síncrono.Muchos contadores síncronos paralelos de CI emplean el preestablecimiento síncrono con lo que el contador es prefijado durante la misma transición activa de la señal de reloj que se emplea para el

Page 22: Analisis_._1_

conteo. El nivel lógico aplicado en la entrada determina si transición activa del reloj será la que preestablezca el contador o si esta será contada, como sucede durante el modo normal de operación.15. Decodificación de un contador.Los contadores digitales se utilizan frecuentemente en aplicaciones donde deben determinarse o exhibirse de alguna manera el conteo representado por los estados de los flip-flops uno de los medios más simples para exhibir el contenido de un contador consiste en conectar la salida de cada flip-flops a un diodo emisor de luz (LED). De esta manera, los estados de los flip-flops están representados por los led en forma visible ( encendido = 1, apagado = 0) y el conteo se puede determinar mentalmente al decodificador los estados binarios de los LED. Por ejemplo, suponga que este método se ocupa para un contador BCD y los estados de las lámparas son apagados- encendido -encendido-apagado, respectivamente. Esto representaría él número binario 0110, que decodificaríamos mentalmente como el 6 decimal. Otras combinaciones de estados de los LED representarían los posibles conteos.El método de LED indicador no es conveniente cuando aumenta el tamaño (número de BIT) del contador, porque es muy difícil decodificar mentalmente los resultados. Por esto es conveniente desarrollar un medio que decodifique electrónicamente el contenido del contador y mostrar los resultados de inmediato y que no requiera operaciones mentales16. Descodificación activa en ALTOUn contador MOD-X tiene x diferentes estados, cada uno es modelo en particular de ceros y unos almacenados en los flip-flops del contador. Este sistema es un circuito lógico que genera x diferentes salidas, las cuales detectan ciertos estados del contador. Las salidas del decodificador pueden diseñarse para introducir un nivel alto o uno bajo cuando ocurra la detección. Un decodificador activo alto genera salidas altas para indicar detección.En la figura que veremos a continuación muestra la lógica completa de descodificación activa para un contador MOD-8. este cuenta de 8 compuertas and de 3 entradas, las cuales producen salidas altas para un estado en particular del contador.Por ejemplo, la compuerta and 0 tiene como entradas las salidas del flip-flops C, B y A. Así su salida será baja en todos los tiempos, excepto cuando A =B=C=0, es decir en el conteo 000. análogamente, la compuerta and 5 tiene como entradas las salidas del flip-flops C,B y A, donde su salida pasara a alto solo cuando C = 1, B = 0 y A = 1; es decir, en el conteo de 101 ( 5 decimal ) . el resto de las compuertas and se comportan de la misma forma para los otros posibles conteos. En cualquier instante, solamente una compuerta de la salida and es alta, la que se decodifica para la cuenta en particular esta presente en el contador. Las formas de onda de la figura lo muestran claramente.Las 8 salidas de and se pueden utilizar para controlar 8 indicadores LED por separado, lo que representa los números decimales del 0 al 7. solo la lámpara estará indicando el conteo adecuado

Figura 39.

Figura 40.

17. Descodificación activa en bajo

Page 23: Analisis_._1_

Si se emplean compuertas nand en lugar de and, las salidas detectoras producirán una señal alta, la que pasa abajo solo cuando ocurre él número que se decodifica. Se utilizan ambos tipos de decodificadores, dependiendo del tipo de circuitos que sean conducidos por las salidas detectoras18. Descodificación del contador BCD.Este tiene 10 estados y se pueden decodificar usando las técnicas anteriores. Estos decodificadores ofrecen 10 salidas que corresponden a los dígitos del 0 al 9 representados por los estados de los flip-flops del contador. Las 10 salidas se pueden usar para controlar 10 indicadores eléctricos LED y tener una exhibición visual. Con mayor frecuencia en vez de utilizar 10 lámparas por separado, se utiliza un solo dispositivo para ver los números del 0 al 9, este se denomina tubo nixie, contiene 10 filamentos muy delgados que son la forma de cada uno de los números. El decodificador BCD controla que filamento esta encendido. Una clase más nueva de dispositivo decimales de exhibición visual contiene 7 pequeños segmentos hechos de un material (por lo general dispositivo de cristal liquido o LED ) que emite luz o reflejan la del medio ambiente. Las salidas del BCD controlan que segmentos están encendidos para producir un patrón que represente uno de los dígitos decimales.19. Decodificaciones de salidas falsas.Los retrasos entre las transiciones de los flip-flops pueden así mismo ocasionar problemas cuando se decodifica un contador de rizos. El problema se presenta en la forma de transiciones falsas ( glitches) o espigas en las salidas de algunas de las compuertas de decodificación. Esto se ilustra en la siguiente figura para un contador de rizos MOD 4.Las formas de ondas presentes en las salidas de cada flip-flops y compuertas de decodificación se muestran en la figura. Note el retraso de propagación que ocurre en las formas de onda de reloj y la salida A y entre las formas de onda A y B. Las transiciones falsas que ocurren en las formas de onda de decodificación X0 y X2 son ocasionadas por el retraso entre las formas de onda A y B. X0 es la salida de la decodificación de la compuerta and para l conteo normal 00.La condición 00 también ocurre momentáneamente cuando el contador pasa del conteo 01 al 10 como lo demuestra la forma de onda. Esto se debe a que B no cambia de estado sino hasta que A pasa a bajo. Este estado momentáneo 00 solo dura algunos nano segundos pero puede ser detectado por la compuerta de decodificación si la respuesta de la compuerta es lo suficientemente rápida, por ello la espiga en la salida X0.Una situación análoga produce una transición falsa en la salida X2. X2 es la decodificación para la condición 10 y ocurre momentáneamente en el instante en que el contador pasa de 11 a 00 en respuesta al cuarto pulso de reloj, como se muestra en la forma de onda. Una ves más esto se debe al retraso de la respuesta del flip-flops B depuse de que A a pasado a bajo.

Figura 41.

Figura 42.20. Des habilitación estroboscópica.Esta técnica emplea una señal llamada señal estroboscópica para mantener deshabilitadas las compuertas and de decodificación ( salidas en cero), hasta que todos los flip-flops hayan alcanzado un estado estable en respuesta a la transición negativa del reloj, esto se ilustra en la figura donde la señal estroboscópica se conecta como entrada a cada una de las compuertas de descodificación. Las formas de onda correspondiente muestran que la señal estroboscópica pasa a bajo cuando el pulso del reloj pasa a alto. Durante el tiempo en que la señal estroboscópica esta en bajo, las compuertas de decodificación se conservan en bajo. La señal estroboscópica se mantiene en bajo. La señal estroboscópica se va a alto para habilitar las compuertas de decodificación algún tiempo después de que el pulso de reloj se fue a bajo.El método estroboscópico no se emplea si un contador solamente se utiliza con fines de exhibición visual, ya que las espigas en la decodificación son demasiado angostas para afectar la exhibición

Page 24: Analisis_._1_

Figura 43.

Figura 44.

21. Conexión en cascada de contadores BCDLos contadores BCD se utilizan siempre que se vayan a contar pulsos y los resultados se exhiban en forma decimal. Un solo contador BCD puede contar de 0 a 9 y luego regresa a 0.Para contar números decimales mayores, podemos conectar los BCD en forma de cascada como lo ilustrar la figura esta configuración opera como sigue: Inicialmente todos los contadores son iniciados en el estado cero. Así la exhibición decimal es 0000. Cuando llegan los pulsos de entrada el contador BCD avanza un conteo por pulso, después de que han ocurrido 9 pulsos los contadores BCD de centenas y decenas siguen todavía en cero y el contador de unidades esta en nueve( 1001 binario). De este modo la exhibición decimal se lee 0009. En el décimo pulso de entrada el contador de las entradas se recicla a cero, ocasionando que las salidas que las salidas del flip-flops D vaya de 1 a 0, esta transición de 1 a 0 actúa como la entrada de reloj para el contador de las decenas y ocasiona que avancé un conteo. Así después de 10 pulsos de entrada, la lectura decimal es 010. Cuando ocurren otros pulsos adicionales, el contador avanza un conteo por pulso y cada vez que el contador de unidades se recicla a 0 el contador de las decenas avanza 1 conteo. De este modo después de que han ocurrido 99 pulsos de entrada, el contador de las decenas esta en 9, al igual que el de las unidades. Así la lectura decimales 099. En el pulso 100 de entrada, el contador de las unidades se recicla a 0, que a su ves ocasiona que el contador de las decenas se recicle a 0. así las salidas del flip-flops D del contador de las decenas realiza una transición de 1 a 0 que actúa como entrada de reloj para el contador de las centenas y ocasiona que avancé un conteo así después de 100 pulsos la lectura decimal es 100. Este proceso continua hasta 999 pulsos. En el pulso 1000 todos los contadores se reciclan a 0.

Figura 45.

22. Diseño de contadores síncronos.Existen varios métodos para diseñar contadores que sigan secuencias arbitrarias, ahora presentaremos los detalles de un método que utiliza flip-flops J K conectados en configuración de contador síncrono la técnica es uno de los numerosos procedimientos de diseño que forma parte de una área del diseño de circuitos digitales que se llama diseño de circuitos secuenciales.Idea básica:

Page 25: Analisis_._1_

En los contadores síncronos todos los flip-flops son disparado al mismo tiempo antes de cada pulso de reloj, las entradas J y K de cada flip-flops del contador deben tener el nivel correcto para asegurar que cada flip flop cambie Asia el estado correcto. El proceso de diseñar un contador síncrono se convierte en uno en que se diseña los circuitos lógicos que decodifican los diferentes estados del contador, para proporcionar los niveles lógicos en cada entrada J y K. Las entradas de estos circuitos decodificadores provienen de las salidas de uno o varios flip-flops. Tabla de excitación J- K. La columna del extremo izquierdo de la tabla enumera las posibles transiciones en la salida de un flip-flops. La segunda y tercera columna contiene el estado presente, simbolizado como Q ( N ) y el siguiente estado simbolizado como Q ( N+1), para cada transición las últimas dos columnas contienen los niveles J y K requeridos para producir cada transición a continuación examinamos cada caso. Transición 0=> 0 el estado presente del flip-flops es cero y debe permanecer en cero cuando se aplica una entrada de reloj, de esta manera de entender cómo funciona un flip-flops J- K, esto sucede cuando J=K=0 ( condición de no cambio), o cuando J=0 y K = 1 (condición de borrado). De este modo J tiene que ser 0, pero K puede tener cualquier nivel. La tabla indica esto con un 0 en la columna correspondiente a J y una x en la columna para K. Recuerde que x representa una condición no importa. Transición 0 =>1 este estado presente es 0 y cambia a 1, esto puede suceder ya sea cuando J=1 y K= 0 (condición de establecimiento) o cuando J =K = 1 (condición de cambio de estado). De este modo J tiene que ser 1, pero para que esta transición ocurra K puede tener cualquier nivel. Transición de 1=>0, el estado presente es 1 y debe cambar a 0. esto puede ocurrir cuando J = 0 y K = 1 o J=K=1. De este modo K tiene que ser 1 pero J puede estar en cualquiera de estos niveles. Transición 1=>1, el estado presente es 1 y permanecerá en 1. esto ocurre cuando J=K=0 o J=1 y K = 0 así, K debe ser cero mientras J puede estar en cualquiera de estos niveles.

Transición en la salida

Estado presenteQ(N)

Estado siguienteQ(N+1) J K

0=>0 0 0 0 X

0=>1 0 1 1 X

1=>0 1 0 X 1

1=>1 1 1 x 0

Figura 46.

23. Contadores con registro de corrimientoContador de anillo: El contador de corrimiento más simple es un registro de corrimiento circulante conectado de modo que el último flip-flops desplace su valor al primer flip-flops.Esta configuración se muestra en la figura utilizando flip-flops de tipo D (también se puede usar flip-flops de tipo J K). Estos se conectan dé tal forma que la información se corra de izquierda a derecha, y de regreso de Q0 a Q3. En mucho casos solo hay un 1 en el registro y se hace que circule alrededor del registro en tanto se apliquen los pulsos del reloj. Por esta razón se le denomina contador de anillo.Las formas de onda la tabla de secuencia y el diagrama de estados muestran los diferentes estados del flip-flops a medida que se aplica los pulsos, suponiendo que el estado inicial es Q3 =1 y Q2 = Q1 =Q0 = 0. Después del primer pulso, el 1 a pasado de Q3 a Q2 de manera que el contador está en el estado 0100. el segundo pulso produce el estado 0010, y el tercero produce el estado 0001. el cuarto pulso del reloj el estado 1 de Q0 se transfiere a Q3 lo que produce el estado 1000, que es desde luego el estado inicial. Los pulsos subsiguientes ocasionan que se repita la secuencia.Este contador funciona como un contador MOD 4 porque tiene 4 estados distintos antes de que se repita la secuencia. Note que cada forma de onda de salida del flip-flops tiene una frecuencia igual a un cuarto de la frecuencia de reloj.Un contador de anillo necesitara más flip-flops que un contador binario para el mismo número MOD, por ejemplo un contador de anillo MOD 8 requiere de 8 flip-flops en tanto que un contador binario MOD 8 solo necesita 3.A pesar de que es menos eficaz en el uso del flip-flops un contador de anillos sigue siendo útil debido a que puede ser decodificado sin tiene que emplear compuertas de decodificación. La señal de decodificación para cada estado se obtiene en la salida de sus flip-flops correspondientes.Nota: los diagramas corresponden a un contador MOD 3.

Page 26: Analisis_._1_

Figura 47.

Figura 48.

Figura 49.

24. Contador Johnson.Aparte de los contadores binarios que pasan por todos sus posibles estados y de los de secuencia truncada, en los cuales el número máximo de posibles estados es 2n, donde n corresponde al número de flip-flops, existen contadores que tengan un número de estados determinado por la regla 2n, donde n es el número de flip-flops. Además estos contadores poseen una realimentación de la salida complementaria de la última etapa independiente del número de etapas del contador, a la entrada del primer flip-flop, dando como resultado una secuencia característica.En el diagrama lógico se muestra un contador de Johnson ascendente de tres bits diseñado a partir de flip-flops Data con disparo por borde de subida. Debido a que posee tres flip-flops, su número máximo de estados es 6, con un ciclo básico que va desde cero (000) luego el contador se llenará de 1s de izquierda a derecha y luego se llenara de nuevo de 0s en forma repetitiva como se puede observar en el diagrama de tiempos.

Figura 50.

Page 27: Analisis_._1_

. Figura 51.

Figura 52.

Conclusión.Con el desarrollo de este trabajo se trató de explicar lo más simplemente posible todas las aplicaciones de los contadores, donde se puede saber cómo son los números MOD donde se designa la cantidad de flip-flops que se deben utilizar. También la forma de onda se disminuye a la mitad de la frecuencia de entrada en cada salida del flip-flop.

http://html.rincondelvago.com/contadores_2.htmlhttp://centros.edu.xunta.es/iesmanuelchamosolamas/electricidade/fotos/contadores.htmhttp://dovercontadores.blogspot.com/

3) Registros de Desplazamiento

Entrada/Salida Serie Entrada Serie/Salida Paralelo Entrada Paralelo/Salida Serie Entrada/Salida Paralelo Bidireccionales

R//: Registros de Desplazamiento

Un registro de desplazamiento (Shifi register) está formado por un conjunto de flip-flops, donde cada flip-flops puede transferir información en serie con el flip-flops continuo. Las diferentes formas en que se puede transferir información en serie a un registro de desplazamiento y las formas de obtener dicha información dan lugar a diferentes tipos de registros de desplazamiento. Los datos digitales a transferir al registro pueden estar disponibles en forma serie o en forma paralelo. También de estas dos formas se puede extraer información contenida en el registro. La combinación de estas posibilidades da lugar a la siguiente clasificación:

Page 28: Analisis_._1_

Entrada serie-Salida Serie.Entrada Serie-Salida Paralelo.Entrada Paralelo-Salida Serie.Entrada paralelo-Salida Paralelo.

Según la forma desplazamiento, también se pueden distinguir los registros de desplazamiento bidireccionales y los registros de desplazamiento cíclicos. A continuación vamos a ver con más detalle algunos de estos registros de desplazamiento.

1.Registro de desplasamiento con entrada serie y salida serie

Un registro de desplazamiento con entrada serie y salida, la información entra por una única entrada que se desplaza por los flip-flops que forman el registro sincronizada con los flancos de reloj hasta llegar a la salida situada en el último flip-flops.

La figura # representa un registro de desplazamiento de 4 Bits con estrada serie y salida serie. Está formado por 4 flip-flops D disparados por flanco subida. La entrada a cada flip-flops está conectada a la salida del precedente, de forma que el desplazamiento se realiza de izquierda a derecha.

Figura 53.

Para realizar el diagrama de tiempos vamos a suponer que inicialmente se ponen a 0 todos inicialmente los flop-flops mediante las entradas asíncronas. Posteriormente, la entrada serie se pone a 1 antes de producirse un flanco de subida de reloj y después baja a 0 antes del siguiente flanco. Este 1 se ira desplazando a cada pulso de reloj, ese 1 aparecerá en la salida serie. En la figura # se puede ver el diagrama de tiempos que representa esta situación:

Page 29: Analisis_._1_

Figura 54.

Una aplicación básica

.Un aplicación de los registros de desplazamiento es la conversión de datos seriales a forma paralela.

Por ejemplo, asumamos que el número binario 1011 se carga secuencialmente, un bit a cada pulso de reloj.

Figura 55.

El registro de desplazamiento 74HC164A

El 74HC164A es un registro de desplazamiento de la familia CMOS para 8 bits de entrada serial y salida paralela. El voltaje de alimentación del C.I. puede ir desde +2.0 y +6.0 V.

Page 30: Analisis_._1_

Figura 56.

Una de las dos entradas de datos seriales se podría utilizar como señal de habilitación para el ingreso al registro de la otra. Si no se necesita una entrada de habilitación, una de ellas puede conectarse a +Vcc.

El 74HC164A tiene una entrada asincrónica de limpieza “Clear”. Los datos ingresan en los flancos de subida de la señal de reloj.

Diagramas de Tiempo del 74HC164A

A la derecha se muestra las formas de onda características del 74HC164A. Observe que B actúa como señal de habilitación activa en ALTO para el ingreso de los datos en A.

Recuerde que en CMOS las entradas no usadas deberían siempre estar conectadas a un nivel lógico; las salidas no usadas pueden quedar abiertas.

Figura 57.

Registros de entrada paralela y salida serial

Los registros de desplazamiento se pueden utilizar para convertir datos de un formato paralelo a una salida serial. A continuación se muestra un diagrama lógico para este tipo de registros.

Page 31: Analisis_._1_

Figura 58.

El registro de desplazamiento 74HC16

El registro 74HC165 es un registro de desplazamiento CMOS de 8 bits de entrada paralela y una salida serie. El símbolo lógico se muestra abajo.

Figura 59

Las líneas de reloj (CLK) y reloj de inhibición (CLK INH) se conectan a una puerta OR común, de manera que cualquiera de estas entradas se pueda usar como un reloj de habilitación activo en BAJO y la otra, como señal de reloj de entrada. Los datos se cargan asincrónicamente cuando SH/LD está en BAJO y se mueven a través del registro sincrónicamente cuando SH/LD está en ALTO y a la vez ocurre un pulso de reloj de subida.

El registro de desplazamiento 74HC165

A continuación se muestra una simulación realizada en Multasen con el 74HC165. El generador de palabras se utiliza como fuente de datos para el patrón que se muestra en los led verdes.

Figura 60.

Page 32: Analisis_._1_

El registro de desplazamiento 74HC165

Aquí se muestra un gráfico para observar el patrón. El MSB es ALTO y está representado en la salida Q7 tan pronto como LOAD es BAJO.

Figura 61.

Registro de desplazamiento bidireccional

Un registro de desplazamiento bidireccional puede mover los datos en cualquier dirección mediante una entrada de control RIGHT/LEFT.

La siguiente simulación muestra cómo trabaja un registro de desplazamiento bidireccional. Observe que el nivel ALTO de los datos seriales de entrada se mueven primero desde Q3 hacia Q0.

Figura 62.

Registro de desplazamiento universal bidireccional

Si el registro de desplazamiento con entrada en serie y salida serie estuviesen disponibles las salidas de cada uno delos flip-flops, sería posible disponer de todos los bits en paralelo al cabo de 4 pulsos de reloj. Con los que se consigue fácilmente un registro de desplazamiento con entrada serie y salida paralelo. El diagrama de tiempos es idéntico al caso anterior, teniendo en cuenta que se disponen simultáneamente de Q0, Q1, Q2yQ3.

Page 33: Analisis_._1_

Para conseguir que un registro de desplazamiento tenga entrada en paralelo, es necesario añadir a la entrada de cada flip-flops un circuito lógico que permite la conexión de su entrada a dos señales diferentes, una proveniente del flip-flops anterior para el desplazamiento y otra la correspondiente a la entrada paralelo. Por lo tanto es necesario utilizar un multiplexor y una señal de control que indique si se debe producir la carga en paralelo o en el desplazamiento. Equivalente, a la de la misma forma que un registro de desplazamiento de entrada paralelo también dispondrá de entrada serie

Teniendo en cuenta lo expuestos anteriormente se puede comprobar que los registros de desplazamiento pueden combinar varias de las posibilidades de entrada y/o Salida del mismo circuito. Es decir , un registro de desplazamiento puede tener, por ejemplo, entrada paralelo y entrada serie. Cuando el registro de desplazamiento dispone tanto de entrada serie como de paralelo a la vez que salida serie y paralelo se dice que es un registro de desplazamiento universal. Si además se puede desplazar tanto de derecha a izquierda como de izquierda a derecha se dice que es bidireccional. Un ejemplo como este se puede ver en la figura:

Figura 63.

Registro de desplazamiento universal

Figura 64.

Page 34: Analisis_._1_

Contadores basados en registros de desplazamiento

Los registros de desplazamiento pueden formar contadores al hacer re-circular un patrón de 0s y 1s. Dos contadores basados en registros de desplazamiento importantes son el contador Johnson y el contador en anillo.

El contador Johnson se puede realizar con una serie de flip-flops D

… o con una serie de flip-flops J-K. Aquí Q3 y Q3 se realimentan a las entradas J y K.

Figura 65.

http://books.google.com.co/books?id=FLoQI6to_R4C&pg=PA228&lpg=PA228&dq=Registros+de+Desplazamiento+Entrada/Salida+Serie+Entrada+Serie/Salida+Paralelo+Entrada+Paralelo/Salida+Serie+Entrada/Salida+Paralelo+Bidireccionales&source=bl&ots=YYDNerrxYd&sig=4Bb7zg2qV2eUBw01nPV4Yd8BY50&hl=es&sa=X&ei=AEJXUd6xPOv00QGQv4HIAg&ved=0CCoQ6AEwAA#v=onepage&q&f=truehttp://ocw.pucv.cl/cursos-1/sistemas-digitales/materiales-de-clases-1/catedras/tema-11 [email protected]

4) Memorias RAM ROM PROM EPROM Flash Ópticas y Magnéticas Discos Duros USB

R//:

Page 35: Analisis_._1_

Figura 66.RAM:Esta es la denominada memoria de acceso aleatorio o sea, como puede leerse también puede escribirse en ella, tiene la característica de ser volátil, esto es, que sólo opera mientras esté encendida la computadora. En ella son almacenadas tanto las instrucciones que necesita ejecutar el microprocesador como los datos que introducimos y deseamos procesar, así como los resultados obtenidos de esto.

ROMLa memoria ROM, (read-only memory) o memoria de sólo lectura, es la memoria que se utiliza para almacenar los programas que ponen en marcha el ordenador y realizan los diagnósticos.

PROM:PROM es el acrónimo de Programmable Read-Only Memory (ROM programable). Es una memoria digital donde el valor de cada bit depende del estado de un fusible (o antifusible), que puede ser quemado una sola vez. Por esto la memoria puede ser programada (pueden ser escritos los datos) una sola vez a través de un dispositivo especial, un programador PROM. Estas memorias son utilizadas para grabar datos permanentes en cantidades menores a las ROMs, o cuando los datos deben cambiar en muchos o todos los casos.

EPROM:EPROM son las siglas de Erasable Programmable Read-Only Memory (ROM borrable programable). Es un tipo de chip de memoria ROM inventado por el ingeniero Dov Frohman que retiene los datos cuando la fuente de energía se apaga. En otras palabras, es no volátil. Está formada por celdas de FAMOS (Floating Gate Avalanche-Injection Metal-Oxide Semiconductor) o transistores de puerta flotante. Cada uno de ellos viene de fábrica sin carga, por lo que es leído como un 0 (por eso una EPROM sin grabar se lee como FF en todas sus celdas). Se programan mediante un dispositivo electrónico que proporciona voltajes superiores a los normalmente utilizados en los circuitos electrónicos. Las celdas que reciben carga se leen entonces como un 1. Una vez programada, una EPROM se puede borrar solamente mediante exposición a una fuerte luz ultravioleta. Esto es debido a que los fotones de la luz excitan a los electrones de las celdas provocando que se descarguen. Las EPROMs se reconocen fácilmente por una ventana transparente en la parte alta del encapsulado, a través de la cual se puede ver el chip de silicio y que admite la luz ultravioleta durante el borrado.

Page 36: Analisis_._1_

FLASH:Estas memorias son de carácter no volátil, esto quiere decir que la información que almacena no se pierde en cuanto se desconecta de la corriente.Las capacidades de almacenamiento de estas tarjetas comenzaron en 128 MB pero actualmente se pueden encontrar en el mercado tarjetas de hasta 32 GB.

MEDIOS MAGNETICOS

CINTAS MAGNÉTICAS: La cinta magnética es un tipo de medio o soporte de almacenamiento de datos que se graba en pistas sobre una banda plástica con un material magnetizado, generalmente óxido de hierro o algún cromato. El tipo de información que se puede almacenar en las cintas magnéticas es variado, como vídeo, audio y datos.

DISCOS DUROS: En informática, un disco duro o disco rígido (en inglés Hard Disk Drive, HDD) es un dispositivo de almacenamiento de datos no volátil que emplea un sistema de grabación magnética para almacenar datos digitales. Se compone de uno o más platos o discos rígidos, unidos por un mismo eje que gira a gran velocidad dentro de una caja metálica sellada. Sobre cada plato, y en cada una de sus caras, se sitúa un cabezal de lectura/escritura que flota sobre una delgada lámina de aire generada por la rotación de los discos.

DISQUETES: Un disquete o disco flexible (en inglés floppy disk o diskette) es un medio de almacenamiento o soporte de almacenamiento de datos formado por una pieza circular de material magnético, fina y flexible (de ahí su denominación) encerrada en una cubierta de plástico, cuadrada o rectangular, que se puede utilizar en una computadora o laptop.

MEDIOS OPTICOS CD-R: Es un disco compacto de 650 MB de capacidad que puede ser leído cuantas veces se

desee, pero cuyo contenido no puede ser modificado una vez que ya ha sido grabado. Dado que no pueden ser borrados ni regrabados, son adecuados para almacenar archivos u otros conjuntos de información invariable. [3](Ver anexo 5)

CD-RW: Posee la capacidad del CD-R con la diferencia que estos discos son regrabables lo que les da una gran ventaja. Las unidades CD-RW pueden grabar información sobre discos CD-R y CD-RW y además pueden leer discos CD-ROM y CDS de audio. Las interfaces soportadas son EIDE, SCSI y USB.

CD-RAM: Este medio tiene una capacidad de 2.6 GB en una cara del disco y 5.2 GB en un disco de doble cara, Los DVD-RAM son capaces de leer cualquier disco CD-R o CD-RW pero no es capaz de escribir sobre estos. Los DVD-RAM son regrabables pero los discos no pueden ser leídos por unidades DVD-ROM.

CD-ROM: Es un disco compacto con capacidad de almacenar 4.7 GB de datos en una cara del disco, un aumento de más de 7 veces con respecto a los CD-R y CD-RW. Y esto es en una sola cara.. Las unidades DVD-ROM son capaces de leer los formatos de discos CD-R y CD-RW. Entre las aplicaciones que aprovechan la gran capacidad de almacenamiento de los DVD-ROM tenemos las películas de larga duración y los juegos basados en DVD que ofrecen videos MPEG-2 de alta resolución, sonido inmersivo Dolby AC-3, y poderosas graficas 3D

MEMORIA USB O PEN DRIVE:

Es un pequeño dispositivo de almacenamiento que utiliza la memoria flash para guardar la información sin necesidad de pilas. Los Pen Drive son resistentes a los rasguños y al polvo que han afectado a los medios de almacenamiento portable, como los CD y los disquetes.

Page 37: Analisis_._1_

Figura 67.

PARTES DE LA USB:1. Conector USB2. Dispositivo de control de almacenamiento masivo USB3. Puntos de Test4. Circuito de Memoria flash5. Oscilador de cristal6. LED7. Interruptor de seguridad contra escrituras8. Espacio disponible para un segundo circuito de memoria flash

DISCO ZIP:Llamada también Unidad Zip, es un dispositivo o periférico de almacenamiento, que utiliza discos Zip como soporte de almacenamiento; dichos soportes son del tipo magneto-óptico, extraíbles de media capacidad, lanzada por Iomega en 1994. La primera versión tenía una capacidad de 100 MB, pero versiones posteriores lo ampliaron a 250 y 750 MB.

http://www.slideshare.net/kmaricha2/savedfiles?s_title=medios-de-almacenamientos&user_login=guestcdcc3d&from=

http://www.slideshare.net/guestcdcc3d/medios-de-almacenamientos?utm_source=slideshow03&utm_medium=ssemail&utm_campaign=share_slideshow_loggedout

http://www.google.com.co/#hl=es&sclient=psy-ab&q=%EF%82%A7%09DISQUETES&oq=%EF%82%A7%09DISQUETES&gs_l=serp.3...2306.2306.0.3134.1.1.0.0.0.0.154.154.0j1.1.0...0.0...1c.1.7.psy-ab.GmEWeK8bBf8&pbx=1&bav=on.2,or.r_qf.&fp=4cd95b458106034c&biw=1088&bih=533

http://es.wikipedia.org/wiki/Disquete

5. Interfaces:

Conversión Digital-Analógica (D/A)Conversión Digital-Analógica (D/A)

R//:

PRINCIPIOS DE LA CONVERSIÓN

La conversión analógico-digital (A/D) y la digital-analógica (D/A) responden a principios de funcionamiento similares; de hecho, una es la inversa de la otra. Por ello, aunque el objetivo de cada tipo de conversión se expondrá de forma separada, se verá que ambos se caracterizan por el mismo conjunto de parámetros, matizados en algunos casos para el tipo correspondiente. De acuerdo con esto, la exposición de los parámetros característicos de la conversión se realizará de forma conjunta

CONVERSIÓN D/A

Page 38: Analisis_._1_

Un convertidor D/A es un circuito electrónico que genera una señal eléctrica con una magnitud relacionada proporcionalmente con un valor numérico en formato digital, que constituye la entrada al convertidor.

La señal eléctrica es una corriente o tensión y la magnitud relacionada con el valor digital normalmente es su amplitud, aunque también puede ser la frecuencia, la anchura de pulso, u otras. En cualquier caso, la magnitud tiene intrínsecamente un carácter analógico, es decir, puede admitir cualquier valor real.

La magnitud que se hace variar en relación al valor numérico de entrada, constituye la salida del convertidor. El valor que toma la magnitud de salida depende de la función de transferencia que se implemente en el circuito.

Por lo tanto, desde un punto de vista formal y con independencia del tipo de magnitud de salida, se puede ver el funcionamiento de un convertidor D/A como una aplicación matemática del conjunto de los números enteros en el de los números reales. Los parámetros que caracterizan esta aplicación o función de transferencia serán los que caractericen principalmente al convertidor.

Como en todos los sistemas físicos, el circuito real no se corresponderá exactamente con la aplicación matemática ideal que se buscaba, con lo que los parámetros característicos se podrán dividir en dos grupos:

Parámetros de diseño: son los parámetros que definen la aplicación ideal que se desea realizar.

Parámetros de funcionamiento: son los parámetros que definen la aplicación real que implementa el circuito, o más bien, la diferencia entre los parámetros reales y los ideales.

Normalmente, la aplicación ideal deseada es de tipo lineal, es decir, representando en abscisas los números enteros de entrada (en formato digital) y en ordenadas el valor real de salida (magnitud eléctrica analógica), los puntos de la aplicación se encuentran en una línea recta. Obsérvese que el gráfico que representa la aplicación no es un trazo continuo, sino un conjunto de puntos, puesto que el conjunto de partida son los enteros, que son discretos.

Por otro lado, puesto que el objetivo es realizar la aplicación ideal por medio de un circuito y este es finito, no es necesario definir la aplicación para todos los números enteros. Sólo se definirá para aquel conjunto de valores enteros que es posible introducir en el convertidor a través de sus entradas digitales.

Para N entradas digitales (bits) sólo es posible introducir como máximo un total de 2 N números enteros distintos. Será para estos números para los que se defina la aplicación. Los números enteros a los que se corresponde cada entrada binaria dependen del tipo de código binario empleado. Normalmente se emplea el binario natural, por lo que el dominio de la aplicación serán los enteros desde 0 hasta 2 N -1. Además, la magnitud analógica de salida no adquirirá valores en cualquier margen, sino que normalmente se desean obtener salidas unipolares (de 0 a V voltios si la salida es de tensión) o bipolares simétricas (de -V a V voltios).

En cualquier caso, el rango de salida será finito, conexo y estará claramente definido. En lo que sigue, se supondrá que la magnitud de salida es la amplitud de una tensión, aunque de igual forma se podría decir que se trata de una corriente u otra magnitud eléctrica. Además, se describirán los convertidores más usuales, caracterizados por una función de transferencia lineal, código binario natural y rango de salida unipolar entre 0 y Vr voltios. A esta tensión Vr se le llama tensión de referencia. Los resultados obtenidos son fácilmente extrapolables a otros casos.

Page 39: Analisis_._1_

Fig. 1. Conversión analógica- digital (D/A)

Fig. 2. Diagrama Esquemático.

El funcionamiento de nuestro convertidor de análogo a digital es el siguiente:

Se inicializa el circuito al colocar el bit más significativo del DAC a 1. Esto se hace al oprimir el interruptor 'I' y simular el reloj en el circuito integrado 74164("8-bit parallel-in, serial-out shift register") utilizando el interruptor 'Space'. El 74164 nos ayuda a colocar cada bit que necesita ser probado a 1 mientras los otros son cero haciendo un "shift" de uno hacia la derecha cada vez que cambia su reloj. Para cada bit hay un D flip-flop que sostiene el valor de 1 si el resultado de comparar la entrada análoga con la referencia dada por el DAC es 1, y esto sucede cuando la primera es mayor que la segunda. Cuando se esta haciendo la prueba, el "tri-state buffer" deja pasar el reloj al D flip-flop, registrando todos los cambios que ocurran para el bit de prueba. Si el resultado del comparador es cero, se hará un "clear" al D flip-flop sólo si se está probando el bit correspondiente (para lograr esta condición se utiliza el NAND) Luego que el DAC se ha estabilizado, el reloj permitirá que se registre el cambio en el flip-flop. Entonces

Page 40: Analisis_._1_

se pasa al próximo bit a ser probado simulando el reloj en el 74164 a través del interruptor 'Space'. El reloj del D flip-flop del próximo bit a ser probado se activa, mientras que el bit anterior mantiene el resultado ya que no le llegan los cambios del reloj a su D flip-flop porque su "buffer" ahora estará desactivado. El proceso se repite 8 veces para probar todos los bits.

LOS CONTADORES PUEDEN SER SÍNCRONOS O ASÍNCRONOS

Los asíncronos son aquellos en los que las entradas de reloj que los gobiernan no actúan simultáneamente en todos los flip-flops sino secuencialmente, es decir, los impulsos a contar no se aplica a las entradas de reloj de todos los flip-flops a la vez, sino generalmente sólo a la del primero, y las entradas de reloj del resto son gobernadas por las salidas del biestable precedente.

Los síncronos son aquellos en los que los impulsos a contar se aplican a todas las entradas de reloj de todos los biestables a la vez.

En general los contadores síncronos son más rápidos que los asíncronos, pero más complejos, además los asíncronos presentan el problema de adquirir transitoriamente estados indeseados.

No es obligatorio que los contadores agoten todas las posibles combinaciones.

El contaje no tiene porque realizase de forma ordenada.

Existen contadores crecientes y decrecientes.

Existen también contadores programables en los que mediante entradas paralelas puedo cargar la cifra inicial pudiendo ser a su vez esta carga síncrona o asíncrona.

Tipos de conversores usuales

De aproximaciones sucesivas: Es el empleado más comúnmente, apto para aplicaciones que no necesitan grandes resoluciones ni velocidades. Debido a su bajo coste se suele integrar en la mayoría de micro controlador permitiendo una solución de bajo coste en un único chip para numerosas aplicaciones de control. El conversor realiza una búsqueda dicotómica del valor presente en la entrada. Su principal carencia es el elevado tiempo de conversión necesario.

Flash: este conversor destaca por su elevada velocidad de funcionamiento. Está formado por una cadena de divisores de tensión y comparadores, realizando la conversión de manera inmediata en una única operación. Su principal desventaja es el elevado costo.

Sigma-delta: Tienen una velocidad máxima de conversión baja pero a cambio poseen una relación señal a ruido muy elevada, la mayor de todos.

Otros tipos de conversores igualmente utilizados son: rampa, doble-rampa, etc.

http://es.wikipedia.org/wiki/Conversor_anal%C3%B3gico-digitalhttp://www.ladelec.com/teoria/electronica-digital/62-osciloscopio-con-100-ledhttp://html.rincondelvago.com/circuitos-integrados_2.htmlhttp://tecnologiaivann.blogspot.com/2010/02/ejemplos-de-circuitos-integrados.htmlhttp://html.rincondelvago.com/convertidores-de-analogico-a-digital.html

Page 41: Analisis_._1_

6. Microprocesadores

Familia de Microprocesadores Arquitectura de Microprocesadores La Unidad Central de Proceso (CPU) Puertos de Entrada y Salida Interrupciones DMA Microprocesadores Modernos FPGA

R//:

DEFINICIÓN DE MICROPROCESADOR

Un microprocesador es un circuito digital secuencial de propósito general. Es decir, es un circuito preparado para asumir, en principio, cualquier funcionamiento digital. La novedad que introducen estos circuitos es la programación de su operatividad mediante lenguajes de programación. Así, el circuito electrónico, se podrá configurar con lenguajes de bajo nivel (lenguajes con instrucciones próximas al lenguaje entendido por la máquina) o lenguajes de alto nivel (lenguajes cuyas instrucciones son próximas al lenguaje humano). La creación del microprocesador vino impuesta por la necesidad de ejecutar funciones cada vez más complejas, así como del número de trabajos que se desea que realizara un único circuito sin que ello supusiera un incremento de circuitería. Los avances tecnológicos en la fabricación de circuitos integrados de alta escala de integración, permitieron implementar en una sola pastilla un circuito que fuera capaz de cubrir todas las necesidades anteriormente expuestas.

Hasta mediados del siglo XX los elementos que debían realizar todas las funciones que desarrollará el microprocesador, se hacían a través de circuitos específicos conectados coherentemente, formando en conjunto una máquina cableada, que realizaba una única función. Una vez descubierta la máquina programada o microprocesador, las nuevas tendencias de diseño son, hasta nuestros días, encaminadas a sistemas o arquitecturas abiertas donde cualquier cambio de diseño no lleve asociado un cambio del hardware, sino que mediante programación se pueda variar el funcionamiento del sistema. Una vez expuestos los antecedentes de la máquina programada destacaremos las ventajas más reseñables del microprocesador con respecto a la máquina cableada:

Reduce el hardware o arquitectura física de los componentes a usar, apartando una gran potencia de diseño

Page 42: Analisis_._1_

Se incrementa la fiabilidad del sistema al disminuir considerablemente el número de elementos del mismo.

Disminuye el coste de los materiales y la mano de obra. Posibilidad de cambios o variaciones sin necesidad de variar la estructura interna. Estructura interna compleja, pero transparente para manejo el usuario y de fácil manejo. No son necesarios grandes conocimientos para utilizarlo. El ámbito de aplicación de un microprocesador alcanza cualquier sistema que necesite un

elemento de control autónomo.

FAMILIA DE MICROPROCESADORES:

Hasta los primeros años de la década de 1970 los diferentes componentes electrónicos que formaban un procesador no podían ser un único circuito integrado, era necesario utilizar dos o tres "chips" para hacer una CPU (un era el "ALU" - Arithmetical Logic Unit, el otro la " control Unit", el otro el "Register Bank", etc..). En 1971 la compañía Intel consiguió por primera vez poner todos los transistores que constituían un procesador sobre un único circuito integrado, el"4004 "', nacía el microprocesador.Seguidamente se expone una lista ordenada cronológicamente de los microprocesadores más populares que fueron surgiendo. En la URSS se realizaron otros sistemas que dieron lugar a la serie microprocesador Elbrus.

1971: El Intel 4004: El 4004 fue el primer microprocesador del mundo, creado en un simple chip y desarrollado por Intel. Era un CPU de 4 bits y también fue el primero disponible comercialmente.1972: El Intel 8008: Codificado inicialmente como 1201, fue pedido a Intel por Computer Terminal Corporation para usarlo en su terminal programable Datapoint 2200, pero debido a que Intel terminó el proyecto tarde y a que no cumplía 1974: El SC/MP: El SC/MP desarrollado por National Semiconductor, fue uno de los primeros microprocesadores.1974: El Intel 8080: EL 8080 se convirtió en la CPU de la primera computadora personal, la Altair 8800 de MITS, según se alega.1975: Motorola 6800: Se fabrica, por parte de Motorola, el Motorola MC6800, más conocido como 6800. Fue lanzado al mercado poco después del Intel 8080. Su nombre proviene de que contenía aproximadamente 6.800 transistores.

Page 43: Analisis_._1_

1976: El Z80: La compañía Zilog Inc. crea el Zilog Z80. Es un microprocesador de 8 bits construido en tecnología NMOS, y fue basado en el Intel 8080. Básicamente es una ampliación de éste, con lo que admite todas sus instrucciones. 1978: Los Intel 8086 y 8088: Una venta realizada por Intel a la nueva división de computadoras personales de IBM, hizo que las PC de IBM dieran un gran golpe comercial con el nuevo producto con el 8088.1982: El Intel 80286: El 80286, popularmente conocido como 286, fue el primer procesador de Intel que podría ejecutar todo el software escrito para su predecesor .1985: El Intel 80386: Este procesador Intel, popularmente llamado 386, se integró con 275.000 transistores, más de 100 veces tantos como en el original 4004.1985: El VAX 78032: El microprocesador VAX 78032 (también conocido como DC333), es de único chip y de 32 bits, y fue desarrollado y fabricado por Digital Equipment Corporation (DEC).1989: El Intel 80486: La generación 486 realmente significó contar con una computadora personal de prestaciones avanzadas, entre ellas..1991: El AMD AMx86: Procesadores fabricados por AMD 100% compatible con los códigos de Intel de ese momento. Llamados «clones» de Intel, 1993: PowerPC 601: Es un procesador de tecnología RISC de 32 bits, en 50 y 66MHz. En su diseño utilizaron la interfaz de bus del Motorola 88110. 1993: El Intel Pentium: El microprocesador de Pentium poseía una arquitectura capaz de ejecutar dos operaciones a la vez, gracias a sus dos pipeline de datos de 32bits cada uno, uno equivalente al 486DX(u) y el otro equivalente a 486SX(u). 1994: EL PowerPC 620: En este año IBM y Motorola desarrollan el primer prototipo del procesador PowerPC de 64 bit[2], la implementación más avanzada de la arquitectura PowerPC.1995: EL Intel Pentium Pro: Lanzado al mercado en otoño de 1995, el procesador Pentium Pro (profesional) se diseñó con una arquitectura de 32 bits. 1996: El AMD K5: Habiendo abandonado los clones, AMD fabricada con tecnologías análogas a Intel. AMD sacó al mercado su primer procesador propio, el K5, rival del Pentium. 1996: Los AMD K6 y AMD K6-2: Con el K6, AMD no sólo consiguió hacerle seriamente la competencia a los Pentium MMX de Intel, sino que además amargó lo que de otra forma hubiese sido un plácido dominio del mercado.1997: El Intel Pentium II: Un procesador de 7'5 millones de transistores, se busca entre los cambios fundamentales con respecto a su predecesor.1998: El Intel Pentium II Xeon: Los procesadores Pentium II Xeon se diseñan para cumplir con los requisitos de desempeño en computadoras de medio-rango.1999: El Intel Celeron: Continuando la estrategia, Intel, en el desarrollo de procesadores para el segmento de mercados específicos.1999: El AMD Athlon K7 (Classic y Thunderbird): Procesador totalmente compatible con la arquitectura x86. Internamente el Athlon es un rediseño de su antecesor, pero se le mejoró substancialmente el sistema de coma flotante (ahora con 3 unidades de coma flotante que pueden trabajar simultáneamente).1999: El Intel Pentium III: El procesador Pentium III ofrece 70 nuevas instrucciones Internet Streaming, las extensiones de SIMD que refuerzan dramáticamente el desempeño con imágenes avanzadas.1999: El Intel Pentium III Xeon: El procesador Pentium III Xeon amplía las fortalezas de Intel en cuanto a las estaciones de trabajo (workstation) y segmentos de mercado de servidores.2000: EL Intel Pentium 4: E ste es un microprocesador de séptima generación basado en la arquitectura x86 y fabricado por Intel. Es el primero con un diseño completamente nuevo desde el Pentium Pro. 2001: El AMD Athlon XP: Cuando Intel sacó el Pentium 4 a 1,7 GHz en abril de 2001 se vio que el Athlon Thunderbird no estaba a su nivel.

Page 44: Analisis_._1_

2004: El Intel Pentium 4 (Prescott): A principios de febrero de 2004, Intel introdujo una nueva versión de Pentium 4 denominada 'Prescott'. 2004: El AMD Athlon 64: El AMD Athlon 64 es un microprocesador x86 de octava generación que implementa el conjunto de instrucciones AMD64,2006: EL Intel Core Duo: Intel lanzó ésta gama de procesadores de doble núcleo y CPUs 2x2 MCM (módulo Multi-Chip) de cuatro núcleos con el conjunto de instrucciones x86-64, basado en la nueva arquitectura Core de Intel. 2007: El AMD Phenom: Phenom fue el nombre dado por Advanced Micro Devices (AMD) a la primera generación de procesadores de tres y cuatro núcleos basados en la microarquitectura K10. 2008: El Intel Core Nehalem: Intel Core i7 es una familia de procesadores de cuatro núcleos de la arquitectura Intel x86-64. Los Core i7 son los primeros procesadores que usan la micro arquitectura Nehalem de Intel y es el sucesor de la familia Intel Core 2. 2008: Los AMD Phenom II y Athlon II: Phenom II es el nombre dado por AMD a una familia de microprocesadores o CPUs multinúcleo (multicore) fabricados en 45 nm, la cual sucede al Phenom original y dieron soporte a DDR3. Una de las ventajas del paso de los 65 nm a los 45 nm, es que permitió aumentar la cantidad de caché L3.2011: El Intel Core Sandy Bridge: Llegan para remplazar los chips Nehalem, con Intel Core i3, Intel Core i5 e Intel Core i7 serie 2000 y Pentium G.2011: El AMD Fusion: AMD Fusion es el nombre clave para un diseño futuro de microprocesadores Turion, producto de la fusión entre AMD y ATI, combinando con la ejecución general del procesador, el proceso de la geometría 3D y otras funciones de GPUs actuales. La GPU (procesador gráfico) estará integrada en el propio microprocesador. 2012: El Intel Core Ivy Bridge: y Bridge es el nombre en clave de los procesadores conocidos como Intel Core de tercera generación. Son por tanto sucesores de los micros que aparecieron a principios de 2011, cuyo nombre en clave es Sandy Bridge. Pasamos de los 32 nanómetros de ancho de transistor en Sandy Bridge a los 22 de Ivy Bridge. Esto le permite meter el doble de ellos en la misma área. Un mayor número de transistores significa que puedes poner más bloques funcionales dentro del chip. Es decir, este será capaz de hacer un mayor número de tareas al mismo tiempo.

CLASIFICACIÓN DE LOS MICROPROCESADORES

Existen diversas clasificaciones en función de la asociatividad que se desee. Así la clasificación más importante son:

(a) Por arquitectura del microprocesador: Se puede establecer una primera clasificación en función de la plataforma que forma el microprocesador. Se pueden agrupar los microprocesadores como aquellos que poseen una arquitectura de tipo Von Neumann o de tipo Hardvard.

(b) Por ancho del bus de datos: Suele ser la clasificación más habitual. Normalmente se agrupan en los conjuntos de 8, 16 o 32 bits. Aquellos que poseen un ancho del bus de datos más pequeños son menos potentes que los que tienen un ancho mayor, pues trabajan con datos más pequeños y por ello poseen menos resolución. Como ejemplos de los microprocesadores de 8 bits, los cuales están un poco obsoletos, se tienen los microprocesadores de la casa ST y dentro de los de 16 bits se encuentran los famosos 8086 de Intel (el predecesor del Pentium) y el 68000 de Motorola. Los micros con tecnología de 64 bits son los más modernos.

Page 45: Analisis_._1_

ARQUITECTURA DE MICROPROCESADORES

Este modelo fue establecido por Von Neumann en el año 1945. Hoy en día, este modelo se sigue utilizando en un gran número de arquitecturas, sobre todo las basadas en microprocesadores Intel. Su principal característica, es la ejecución secuencial de las instrucciones que están almacenadas en una memoria.

Sus principales bloques son: Memoria principal, ALU, Unidad de Control y Unidad de E/S. Todos estos bloques ya fueron expuestos en el apartado anterior.

FUNCIONAMIENTO INTERNO

Las máquinas que poseen este tipo de arquitectura, su objetivo es el de ejecutar una orden o instrucción almacenada en memoria. En función del contenido de la instrucción, la Unidad de Control, una vez que haya decodificado su contenido, generará una serie de señales digitales que activarán/desactivarán diversos elementos internos. Antes de que la Unidad de Control emita las señales asociadas a una instrucción, el sistema debe realizar un proceso que a continuación se detalla:

Direccionamiento y captura de una instrucción a ejecutar: Internamente la CPU se encargará de acceder a memoria de programa, para extraer de ella la instrucción que se desea ejecutar. Existe un registro asociado a este proceso de extracción de una instrucción de memoria, denominado contador de programa (PC), que se encarga de apuntar a la dirección de memoria que contiene la siguiente instrucción a ejecutar.

Page 46: Analisis_._1_

Decodificación de instrucciones: Una vez capturada la instrucción, el siguiente paso es traducir el contenido de esa instrucción a micro órdenes que debe realizar la CPU.

Generación de señales de control: Dentro de la CPU existe un elemento denominado Unidad de Control (UC) que es el encargado de la generación de todas las señales que se necesiten tanto para realizar el proceso de direccionamiento y decodificación de una instrucción como para la operación concreta indicada por la instrucción.

Operaciones aritmético-lógicas entre datos: La CPU posee un elemento denominado Unidad Aritmético Lógica (ALU) encargado de realizar cualquier operación entre los datos. Está gobernado por las señales generadas por la Unidad de Control.

Todo este proceso se puede ver en la Figura 6.7.

FIG: 2 FUNCIONAMIENTO

La Unidad Central de Proceso (CPU)

Es la unidad que realiza todas las funciones inteligentes en la computadora. Se le denomina CPU por las siglas en ingles central processing unit, se le denomina simplemente procesador o microprocesador, Es el dispositivo que interpreta las instrucciones contenidas en los programas o definidas por las entradas y ejecuta el procesamiento de los datos. Es el que caracteriza a la computadora por su programación ejecución de múltiples tareas.

Tareas de la CPU:Captar instrucción: la CPU lee una instrucción de la memoria. Instrucción fetch (IF) Interpretar instrucción: la instrucción se decodifica para determinar qué acción realizará. Instrucción decide (ID) Captar datos: la ejecución de una instrucción puede exigir leer datos de la memoria ode un módulo I/O. Operan Fetch (OF)Procesar datos: en la ejecución se puede exigir llevar a cabo alguna operación aritmética o lógica con los datos. Operación ejecución (OE)Escribir datos: los resultados de la ejecución pueden exigir escribir datos en la memoria o en un módulo I/O. Operan Store(OS)COMPONENTES

Page 47: Analisis_._1_

, Tiene componentes que realizan diversas tareas interiormente son: la Unidad de Control, la Unidad de Proceso y el bus de entrada y salida.

OPERACION DE LA CPU

1. LEER Implica la recuperación de la información sea un dato o número de la memoria, determinada por el contador del programa.2. el contador del programa es incrementado acorde con la longitud de la palabra en términos de unidades de memoria.3. La instrucción leída desde la memoria define que debe hacer la CPU.

PUERTOS DE ENTRADA/SALIDA (I/O PORTS)

• PUERTOS DE E/S (I/O PORTS)Para controlar otros dispositivos. Sus terminales suelen estar multiplexados con otras funciones. Alguno de ellos puede operar controlado por un microprocesador de 8 bits (PSP).

FIG: 3 terminal de E/S típico

PUERTOS PORTA, PORTB Y PORTC Y REGISTROS TRISA, TRISB Y TRISC

PORTA y TRISA

Page 48: Analisis_._1_

FIG: 4

Puerto A y sus registros asociados en el 16F84

FIG: 5 diagrama de bloques de los terminales del PORTA

PORTB y TRISB

Page 49: Analisis_._1_

FIG: 6 puerto B y sus registros asociados en el 16F84

FIG: 7 diagrama de bloques de los terminales del PORTB

PORTD y TRISD

Page 50: Analisis_._1_

FIG: 8 puerto D y sus registros asociados

PORTE y TRISE

FIG: 9 puerto E y sus registros asociados

INSTRUCCIONES:

Page 51: Analisis_._1_

Aunque los millones de compuertas en un microprocesador son tan pequeñas que no pueden incluso diferenciarse con un microscopio óptico (se necesita por lo menos un microscopio electrónico), actúan exactamente como los circuitos elementales. Utilizan señales eléctricas para controlar otras señales. Las señales son más complicadas, reflejando la naturaleza más elaborada de la computadora.

Los microprocesadores de hoy no utilizan una sola señal para controlar sus operaciones. Utilizan complejas combinaciones de señales. Cada comando del microprocesador es codificado como un patrón de señales. La señal en cada contacto representa un dígito binario de información digital. Los diseñadores de un microprocesador dan el significado especifico de estas señales digitales. Cada patrón es un comando llamado instrucción del microprocesador que le dice a éste como realizar una operación específica.

La configuración (patrón) de bits 0010110, por ejemplo, es la instrucción que le dice a la familia de microprocesadores Intel 8086 que ejecute la operación de resta. Otras instrucciones le dicen al microprocesador que debe sumar, multiplicar, dividir, mover bits, hacer corrimientos, o esperar por otra instrucción.

Los diseñadores de microprocesadores pueden agregar instrucciones para hacer cualquier cosa desde el cálculo de matrices hasta mover bits. El repertorio entero de comandos que cualquier microprocesador entiende y a los cuales puede reaccionar es llamado el conjunto de instrucciones de ese microprocesador o su conjunto de comandos. El diseñador del microprocesador elige que patrón de bits asignar a una función dada. Consecuentemente, diversos diseños del microprocesador reconocen diversos conjuntos de instrucciones. A pesar de sus límites pragmáticos, los conjuntos de instrucciones del microprocesador pueden ser increíblemente ricos y diversos y las instrucciones individuales increíblemente específicas. Los diseñadores del microprocesador original 8086, por ejemplo, sintieron que un simple comando de restar no era suficiente.

Creyeron que el microprocesador también necesitaba saber qué restar y qué hacer con el resultado. Por lo tanto, agregaron una variedad rica de instrucciones de substracción a la familia de chips 8086 que continua en el Pentium 4 de hoy.

Algunas instrucciones del microprocesador requieren una serie de pasos para ser realizadas. Estos comandos múltiples a veces se llaman instrucciones complejas debido a su naturaleza compuesta, pero a pesar de ello la instrucción parece un comando simple. La substracción simple o la adición de dos números puede implicar realmente docenas de pasos, incluyendo la conversión de los números de decimal a la notación binaria (1s y 0s) que el microprocesador entiende.

Todo lo que el microprocesador hace consiste en únicamente una serie de estas instrucciones, ejecutadas paso por paso. Un programa de computadora es simplemente una lista de instrucciones del microprocesador.

Las instrucciones son simples, pero los programas de computadora largos y complejos se construyen de ellas, como las epopeyas y las novelas se construyen de las palabras del lenguaje español. Aunque el escribir en español parece muy natural, la programación no se siente tan natural porque requiere pensar de diferente manera, en un lenguaje diferente. Incluso se tiene que pensar en trabajos tales como la adición de números, escribir una letra, o mover un bloque de gráficos, como una larga serie de pequeños pasos. Es decir la programación es solo una manera diferente de mirar los problemas y de expresar el proceso de solucionarlos.

Tal como mencionamos, este tema es parte del libro electrónico “Microprocesadores”, preparado por Krismar Computación. Ud. puede bajar más información sobre este tema de nuestra web, con la clave “mother”.

Page 52: Analisis_._1_

DMA (Direct Memory Access)

La E/S con interrupciones, aunque más eficiente que la E/S programada, también requiere la intervención del CPU para transferir datos entre la memoria y el módulo de E/S.Consideren el siguiente ejemplo. Cuando se va a leer una línea desde un terminal, el primer carácter escrito es enviado al computador. Cuando el carácter es recibido por el controlador, éste interrumpe al CPU. El CPU le da servicio a la interrupción y luego continua con el proceso que estaba ejecutando. Esto es posible cuando el dispositivo es muy lento comparado con el CPU. Entre un carácter y otro el CPU lleva a cabo gran cantidad de procesamiento. Pero qué sucede cuando estamos trabajando con dispositivos de E/S más veloces? Tendríamos interrupciones muy seguidas y se estaría desperdiciando mucho tiempo.Para evitar esto, se utiliza DMA para dispositivos de E/S de alta velocidad. E1 controlador del dispositivo transfiere un bloque de datos desde o para sus buffers de almacenamiento a memoria directamente sin intervención del CPU. Solo se produce una interrupción por bloque en lugar de tener una interrupción por cada byte (o palabra).Por ejemplo, un programa solicita una transferencia de datos. El Sistema de Operación busca un buffer disponible. El controlador de DMA tiene sus registros actualizados con las direcciones del fuente y del destino y la longitud de la transferencia. Por lo general esta actualización es realizada por el manejador de dispositivo (rutina). Se indica al controlador de DMA a través de bits de control en un registro de control pare que inicie la operación de E/S. Mientras tanto el CPU puede llevar a cabo otras operaciones. El controlador de DMA interrumpe el CPU cuando la transferencia ha sido terminada. El CPU interviene solo al comienzo y al final de la transferencia.

Transferencias vía DMA.Algunos dispositivos de entrada/salida envían datos a la memoria más rápido de lo que el microprocesador puede manejar. El controlador de DMA (Direct Memory Access) es un circuito integrado dedicado que puede enviar y recibir datos más rápido que el microprocesador. Luego, dispositivos como discos ópticos y magnéticos utilizan este integrado para acceder a la memoria del sistema.El controlador de DMA (Direct Memory Access) toma prestado los buses de datos, de direcciones y de control del sistema y envia un número programado de bytes desde un dispositivo de entrada/salida hasta la memoria. El "8237 DMA controller" es el nombre del circuito integrado que utilizan los PCs para esta función.Cuando un dispositivo tiene un bloque de datos preparado para enviar a la memoria, envía una petición al DMA poniendo una señal DRQn a "1". Si el canal de DMA se halla disponible, el DMA enviará una señal HRQ (hold request) al microprocesador. El microprocesador responderá dejando los buses libres y enviando una señal HLDA (hold acknowledge) al DMA. Luego el DMA obtiene el control de los buses poniendo la señal AEN a nivel alto y envia la dirección de memoria a ser escrita. Después el DMA envía la señal de DACKn (DMA acknowledge) al dispositivo. Finalmente el controlador de DMA se ocupa de manejar las señales de MEMW y IOR del bus de control. Cuando la transferencia de datos se ha completado vuelve a poner la señal HRQ a nivel bajo y el procesador recupera el control de los buses de nuevo.Si un dispositivo necesita datos de la memoria, el proceso es similar. La única diferencia consiste en que el controlador de DMA usa las señales MEMR y IOW en el bus control.

CARACTERÍSTICAS TÍPICAS DE LA ARQUITECTURA HARVARD

Page 53: Analisis_._1_

Al igual que cuando se comentaba que las máquinas que poseían en su interior arquitecturas Von Neumann eran máquinas de tipo CISC, con las arquitecturas Harvard, aparecen otro tipo de máquinas que son las denominadas RISC (Reduced Instruction Set Computer). Su principal característica es el uso de un juego reducido de instrucciones, tal como su nombre indica. Además suelen tener todas ellas, a excepción de las de salto, un tamaño fijo, lo que optimiza el tiempo de procesado de una instrucción, ya que se puede predecir el tiempo de ejecución. Además, otra razón por la que las instrucciones tendrán un tamaño fijo, es para optimizar el uso de la segmentación (división de la unidad funcional en varias etapas). Así se podrán ejecutar varias instrucciones simultáneamente, ocupando cada una de ellas un segmento de la unidad funcional.

El programador debe conocer, aunque sea superficialmente, la arquitectura que posee por debajo. La programación de estas máquinas, hoy en día, se realiza con lenguajes de alto nivel, lo que facilita el desarrollo de aplicaciones sobre estas plataformas. Además poseen las siguientes características:

Microprocesadores Modernos

Es un micro propio de la filosofía Intel. Con un gran chip como el Pentium Pro ya en el mercado, y a 3 meses escasos de sacar el Pentium II, decidió estirar un poco más la tecnología ya obsoleta del Pentium clásico en vez de ofrecer esas nuevas soluciones a un precio razonable.Así que se inventó un nuevo conjunto de instrucciones para micro, que para ser modernos tuvieran que ver con el rendimiento de las aplicacionesmultimedia, y las llamó MMX (MultiMedia eXtensions). Prometían que el nuevo Pentium, con las MMX y el doble de caché (32 KB), podía tener ¡hasta un 60% más de rendimiento!!Disculpen si respondo: ¡y unas narices! En ocasiones, la ventaja puede llegar al 25%, y sólo en aplicaciones muy optimizadas para MMX (ni Windows 95 ni Office lo son, por ejemplo). En el resto, no más de un 10%, que además se debe casi en exclusiva al aumento de la caché interna al doble.¿La ventaja del chip, entonces? Que su precio final acaba siendo igual que si no fuera MMX. Además, consume y se calienta menos por tener voltaje reducido para el núcleo del chip (2,8 V). Por cierto, el modelo a 233 MHz (66 MHz en placa por 3,5) está tan estrangulado por ese "cuello de botella" que rinde poco más que el 200 (66 por 3). Pentium II¿El nuevo super-extra-chip? Pues no del todo. En realidad, se trata del viejo Pentium Pro, jubilado antes de tiempo, con algunos cambios (no todos para mejor) y en una nueva y fantástica presentación, el cartucho SEC: una cajita negra superchula que en vez de a un zócalo se conecta a una ranura llamada Slot 1.Los cambios respecto al Pro son:optimizado para MMX (no sirve de mucho, pero hay que estar en la onda, chicos);nuevo encapsulado y conector a la placa (para eliminar a la competencia, como veremos);rendimiento de 16 bits mejorado (ahora sí es mejor que un Pentium en Windows 95, pero a costa de desaprovecharlo; lo suyo son 32 bits puros);caché secundaria encapsulada junto al chip (semi-interna, como si dijéramos), pero a la mitad de la velocidad de éste (un retroceso desde el Pro, que iba a la misma velocidad; abarata los costes de fabricación).Vamos, un chip "Pro 2.0", con muchas luces y algunas sombras. La mayor sombra, su método de conexión, el "Slot 1"; Intel lo patentó, lo que es algo así como patentar un enchufe cuadrado en vez de uno redondo (salvando las distancias, no nos pongamos puristas). El caso es que la jugada buscaba conseguir que los PC fueran todos marca Intel; ¡y decían que los sistemas propietarios eran cosa de Apple!Eso sí, durante bastante tiempo fue el mejor chip del mercado, especialmente desde que se dejó de fabricar el Pro.

Page 54: Analisis_._1_

AMD K6Un chip meritorio, mucho mejor que el K5. Incluía la "magia" MMX, aparte de un diseño interno increíblemente innovador y una caché interna de 64 KB (no hace demasiado, ese tamaño lo tenían las cachés externas; casi da miedo).Se "pincha" en un zócalo de Pentium normal (un socket 7, para ser precisos) y la caché secundaria la tiene en la placa base, a la manera clásica. Pese a esto, su rendimiento es muy bueno: mejor que un MMX y sólo algo peor que un II, siempre que se pruebe en Windows 95 (NT es terreno abonado para el Pentium II).Aunque es algo peor en cuanto a cálculos de coma flotante (CAD y juegos), para oficina es la opción a elegir en todo el mundo... excepto España. Aquí nos ha encantado lo de "Intel Pentium Inside", y la gente no compra nada sin esta frase, por lo que casi nadie lo vende y mucho menos a los precios ridículos de lugares como EEUU o Alemania. Oferta y demanda, como todo; no basta con una buena idea, hay que convencer. De todas formas, hasta IBM lo usa en algunos de sus equipos; por algo será. 6x86MX (M2) de Cyrix (o IBM)Nada que añadir a lo dicho sobre el 6x86 clásico y el K6 de AMD; pues eso, un chip muy bueno para trabajo de oficinas, que incluye MMX y que nunca debe elegirse para CAD o juegos (peor que los AMD). Celeron (Pentium II light)En breve: un Pentium II sin la caché secundaria. Pensado para liquidar el mercado de placas base tipo Pentium no II (con socket 7, que se dice) y liquidar definitivamente a AMD y otras empresas molestas que usan estas placas. Esta gente de Intel no tiene compasión, sin duda...Muy poco recomendable, rendimiento mucho más bajo que el de Pentium II, casi idéntico al del Pentium MMX. AMD K6-2 (K6-3D)Consiste en una revisión del K6, con un núcleo similar pero añadiéndole capacidades 3D en lo que AMD llama la tecnología 3DNow! (algo así como un MMX para 3D).Además, generalmente trabaja con un bus de 100 MHz hacia caché y memoria, lo que le hace rendir igual que un Pentium II en casi todas las condiciones e incluso mucho mejor que éste cuando se trata de juegos 3D modernos (ya que necesitan estar optimizados para este chip o bien usar las DirectX 6 de Microsoft).

FPGA:

Siglas de Field Programmable Gate Array. Es un dispositivo reprogramable, que permite implementar diversos circuitos digitales

en él. Basadas generalmente en memorias RAM.

Por qué usar las FPGA

Hay aplicaciones en las cuales usar un microcontrolador no es suficiente, o usar una FPGA posee costos comparables (por ejemplo, codificar y decodificar en MPEG).

Actualmente hay modelos que incluyen elementos adicionales en el mismo chip. La Virtex 4 de Xilinx posee uno o más PowerPC embebidos en el chip.

Otras formas de implementar circuitos digitales

Directamente en silicio:ASIC

Page 55: Analisis_._1_

Application-Specific Integrated Circuit: Circuito a medida. Alto costo fijo (NRE) de fabricación.

Standard CellsCeldas de ancho fijo, en las cuales se implementan bloques lógicos.

Ventajas de las FPGA

Son dispositivos reconfigurables. Bajo costo respecto a los ASIC. Los circuitos se “ejecutan” más rápido que en otros dispositivos reprogramables. Al ser circuitos digitales, la “ejecución” de cada bloque es en paralelo, no así en un

microcontrolador. Son útiles para realizar prototipos que luego serán llevados a ASIC si es necesario.

Desventajas de las FPGA Al estar basadas en RAM, pierden su configuración al suprimir la energía (hay

soluciones a ello). Poseen retardos de propagación mayores a los existentes en ASIC o standard

cells– Un procesador de alta velocidad (~GHz) se ejecuta mucho más rápido en ASIC

que en una FPGA.Fabricantes de FPGA

Xilinx Altera Atmel Actel Lattice Semiconductor Cypress Semiconductor Achronix Semiconductor QuickLogic

http://www.profesormolina.com.ar/electronica/componentes/int/sist_digit.htm http:// es.scribd.com/doc/43936048/Unidad-Central-de-Proceso-Cpuhttp://es.wikipedia.org/wiki/Microprocesadorhttp://www.monografias.com/trabajos11/micro/micro.shtml

7. Tecnologías de los Circuitos Integrados

Circuitos CMOS Circuitos TTL Comparaciones CMOS y TTL Conexión entre CMOS y TTL Compuertas Lógicas por dentro

R//:

DEFINICION: Un circuito integrado (CI) es una pastilla o chip muy delgado en el que se encuentran miles o millones de dispositivos electrónicos interconectados, principalmente diodos y transistores, aunque también componentes pasivos como resistencias o condensadores. Su área puede ser de 1 cm2 o incluso inferior.

CONTADOR CMOS 74HC393

Page 56: Analisis_._1_

El CI 74HC393 es un doble contador binario de 4 bits. Esta construido a base del flip-flop T. Las entradas de reloj (1ÇP y 2ÇP) son activadas por flanco posterior, o sea, en la transicion de ALTO a BAJO del pulso de reloj.

Las entradas de reset (1MR y 2 MR) del maestro en el contador se activan en nivel ALTO, las salidas se etiquetan desde Q0 a Q3, siendo Q0 el LSB y, Q3 el MSB del numero binario de 4 bits. Requiere una fuente de alimentacion de 5V DC y viene en un CI DIP de14 patillas.

FIG: 1

CONTADOR CMOS CI 74HC193

El CI 74HC193 es un contador reversible síncrono de 4 bits preinicializable como lo muestra la hoja de datos.

Figura 2: Contador CMOS 74HC193

Tiene 2 entradas de reloj (CPU y CPD), que se activan en la transición del nivel BAJO al ALTO del pulso de reloj, la entrada CPU es para la cuenta ascendente (UP) y la entrada CPD es para la cuenta descendente (D), por lo que dependiendo si el contador que se necesite se conecta al nivel alto o +5V.

Los modos de operación del contador CMOS 74HC193 se muestran en la tabla de verdad 5. El modo de reset borra asíncronamente las salidas (Q0 a Q3) al binario 0000 activándose en ALTO el cual puede ser un pulso de corta duración.

Las entradas de carga de datos en paralelo (D0 a D3) se utilizan para programar un número en binario desde donde se quiere que empiece a contar de nuevo al activar la entrada de carga en paralelo (P)) con un nivel BAJO y los datos son transferidos asíncronamente a las salidas (Q0 a Q3). Las salidas de arrastre TÇ5 y TÇÐ generan un pulso negativo, para la conexión en cascada de contadores, ya sea en forma ascendente o en forma descendente la cuenta de estos.

Inversor TTL.

Page 57: Analisis_._1_

La lógica transistor-transistor (TTL) es una de las tecnologías de circuitos integrados más extendidas... hasta el momento... La función lógica de un inversor o de cualquier tipo de puerta es siempre la misma, independientemente de l tipo de tecnología de circuitos que se utilice. En la siguiente figura se muestra un circuito TTL estándar para un inversor. Q1 es el transistor de acoplamiento de entrada y D1 es el diodo de fijación de nivel de entrada (diodo clamp). El transistor Q2 es el divisor de fase y la combinación Q3 y Q4 forma el circuito de salida, a menudo denominado como disposición totem-pole

FIG: 3 TTL

La puerta NAND TTL es equivalente al inversor, con la excepción de que el transistor Q1 se convierte en un transistor con dos emisores (transistor multiemisor).

El circuito de salida descrito en el apartado anterior tiene el circuito de salida totem-pole. Los circuitos TTL disponen de otro tipo de salida, denominada en colector

abierto. En la siguiente figura se muestra un inversor TTL estándar con salida en colector abierto.

Notemos que la salida es el colector del transistor Q3 sin nada conectado, de ahí el nombre de colector abierto. Para obtener los niveles lógicos alto y bajo a la

salida del circuito se conecta una resistencia de pull-up a la tensión de alimentación Vcc desde el colector de Q3. Cuando Q3 no conduce, la salida es llevada a Vcc a través de la resistencia externa. Cuando Q3 se satura, la salida se lleva a un potencial próximo a tierra a través del transistor saturado.

FIG: 4 La puerta NAND TTL

Puertas con salidas triestado.

Page 58: Analisis_._1_

La salida triestado combina las ventajas de los circuitos totem-pole y de colector abierto.

Los tres estados de salida son: alto, bajo y alta impedancia (alta Z). Cuando se selecciona el funcionamiento lógico normal, mediante la entrada de habilitación, el circuito triestado funciona de la misma forma que una puerta normal. Cuando el modo de funcionamiento es de alta impedancia, la salida se desconecta del resto del circuito.

La siguiente figura ilustra el circuito básico de un inversor triestado TTL. Cuando la entrada de habilitación está a nivel bajo, Q2 no conduce y el circuito de salida funciona en la configuración totem-pole normal. Cuando la entrada de habilitación está a nivel alto, Q2 conduce. Entonces en el segundo emisor de Q1 se produce un nivel bajo, haciendo que Q3 y Q5 se bloqueen y el diodo D1 se polarice en directa, lo que hace que Q4 se bloquee también.

En este caso los transistores totem-pole actúan como un circuito abierto y la salida está desconectada por completo de la circuitería interna.

FIG: 4 CIRCUITO INVERSOR TRI ESTADO

Otras series TTL.

La familia original TTL se indica con los números 54/74. Con el avance que ha experimentado la tecnología de fabricación desde su introducción se hanpuesto en el mercado familias mejoradas basadas en tecnología bipolar que buscan optimizar algunos de los parámetros descritos en el capítulo anterior

TTL de bajo consumo (54L/74L).

Esta familia se distingue por su bajo consumo de potencia (L=LOW POWER). Ello se consigue aumentando siginificativamente los valores de lasresistencias de polarización de los diferentes transitores, con lo que se disminuye la corriente que circula por el sistema y con ello la potencia disipada. Si la potencia disipada en una puertaq típica de la familia 54/74 es de 10 mW la de la puerta equivalente en la versión 54L/74L es de 1 mW.

El ahorro de potencia se paga con una pérdida en la velocidad: de los 10 nsg de tiemo de retardo típicos en la familia original se pasa a unos 33 nsg de retardo en esta familia.

TTL Schottky (54S/74S).

Page 59: Analisis_._1_

Esta serie proporciona unos tiempos de conmutación menor, gracias a la incorporación de diodos Schottky que evitan que los transistores entren en saturación, disminuyendo el tiempo que tarda el transistor en entrar y salir de la conducción. El retardo típico es de 3 nsg. Y la disipación de potencia de 19 mW.

TTL Schottky de bajo consumo (54LS/74LS).

Esta familia proporciona un compromiso entre velocidad y baja disipación de potencia utilizando altos valores de resistencias y transistores de tipo Schottky. La disipación de potencia típica de una puerta es de 2 mW y el retardo de prropagación de 10 nsg.

Schottky avanzada y Shottky de bajo consumo avanzada (AS/ALS).

Estas tecnologías suponen versiones avanzadas de las series S y LS. La disipación de potencia estática típica es de 8,5 mW para l serie AS y 1 mW para la serire ALS. Los tiempos de retardo de propagación típicos son de 1,5 nsg para AS y 4 nsg para ALS. Existe una versión AS que se denomina F o FAST (rápida).

Consideraciones prácticas sobre el uso de TTL.

Analizaremos Con más profundidad los conceptos de fuente y sumidero de corriente. En la siguiente figura se muestran dos inversores TTL conectados en serie.

FIG: 4 CONECION DE ENTRADAS INVERSAR TTL

Cuando la puerta excitadora tiene un estado de salida alto actúa como fuente de corriente para la carga (flecha sólida). La entrada a la carga es como un diodo en polarización inversa, por lo que la corriente es mínima (típicamente 40 A).

Por otra parte, cuando la puerta excitadora se encuentra en estado bajo (línea discontinua) actúa como un sumidero de corriente. Esta corriente es mucho mayor, ya que el diodo base-emisor de la carga se encuentra en directa (típicamente 1,6 mA.). Además el sentido de la corriente es negativo, por lo que en las hojas de característica aparece con un signo negativo.

Las salida totem-pole no se pueden conectar juntas, ya que dicha conexión produce una corriente excesiva, que daña los dispositivos3.

Circuitos en colector abierto.

Un circuito TTL en totem-pole tiene limitada la cantidad de corriente que puede absorber en el estado bajo (IOLmax) a 16 mA para la serie estándar y a 20 para la serie AS. En muchas aplicaciones es necesario excitar dispositivos como relés, lámparas, LEDs, etc., que necesitan de un consumo mayor

Page 60: Analisis_._1_

Para estos dispositivos se utilizan salidas en colector abierto, debido a su mayor capacidad de manejo de corriente y tensión. Una puerta buffer en colector abierto típica puede absorber hasta 40 mA.

FIG: 5

COMPARACIONES CMOS Y TTL

Gracias a que los requerimientos para estas dos familias de integrados, CMOS y TTL, son bastante diferentes, requieren para su interconexión la utilización de interfaces. A continuación hay algunos ejemplos de interfaces cuando los dispositivos trabajan con una misma fuente de voltaje y cuando trabajan con voltajes distintos.

CONEXIÓN ENTRE CMOS y TTL

Cuando la compuerta CMOS, que se va a conectar a la compuerta TTL, tiene una fuente de alimentación diferente a los 5-V utilizada por la compuerta TTL, y además la compuerta TTL es de colector abierto, la interconexión mostrada en la figura 2 puede ser empleada. Aquí, una resistencia pull-up de 10K se coloca entre la salida TTL y la puerta de alimentación de la compuerta CMOS.

COMPUERTAS LOGICAS POR DENTRO

Lógica y compuertas binarias.AND

Page 61: Analisis_._1_

En la figura se muestra, en forma simbólica, una compuerta AND de dos entradas. La salida de la compuerta AND es igual al producto AND de las entradas lógicas; es decir, x =A·B. En otras palabras, la compuerta AND es un circuito que opera en forma tal que su salida es ALTA sólo cuando todas sus entradas son ALTAS. En todos los otros casos la salida de la compuerta AND es BAJA.

FIG: 6

A BSAL

0 0 0

0 1 0

1 0 0

1 1 1

NAND

En la figura se muestra el símbolo correspondiente a una compuerta NAND de dos entradas. Es el mismo que el de la compuerta AND, excepto por el pequeño circulo en su salida. Una ves más, este círculo denota la operación de inversión. De este modo, la compuerta NAND opera igual de la AND seguida de un INVERSOR, de manera que los circuitos de la figura son equivalentes y la expresión de salida de la compuerta NAND es;

FIG: 7

A BSAL

0 0 1

0 1 1

1 0 1

1 1 0

Page 62: Analisis_._1_

OR

En un circuito digital la compuerta OR es un circuito que tiene dos o más entradas y cuya salida es igual a la suma OR de las entradas. La figura muestra el símbolo correspondiente a una compuerta OR de dos entradas. Las entradas A y B son niveles lógicos y la salida x es un nivel de voltaje cuyo valor es el resultado de la operación OR de A y B; esto es,

FIG: 7

A BSAL

0 0 0

0 1 1

1 0 1

1 1 1

NOR

En la figura se muestra el símbolo de una compuerta NOR de dos entradas. Es igual al símbolo de la compuerta OR excepto que tiene un círculo pequeño en la salida, que representa la operación de inversión. De este modo, la compuerta NOR opera como una compuerta OR seguida de un INVERSOR, de manera que los circuitos de la figura son equivalentes y la expresión de salida para la compuerta NOR es;

FIG: 9

A BSAL

0 0 0

0 1 1

1 0 1

1 1 1

XOR

Page 63: Analisis_._1_

En la figura se muestra el símbolo de una compuerta XOR de dos entradas. Las variables de entrada son A y B la salida es X. La salida Y es 1 lógico si y solo si A es diferente de B, si A y B son ambas 0 lógico o ambas son 1 lógico entonces X es 0 lógico

FIG: 10

A BSAL

0 0 0

0 1 1

1 0 1

1 1 0

Page 64: Analisis_._1_

FIG: 11

A BSAL

0 0 1

0 1 0

1 0 0

1 1 1

NOT

La figura muestra es símbolo de un circuito NOT, al cual se le llama más comúnmente INVERSOR. Este circuito siempre tiene una sola entrada y su nivel lógico de salida siempre es contrario al nivel lógico de esta entrada.

FIG: 12

ASAL

0 1

1 0

http://www.monografias.com/trabajos12/ttl/ttl.shtmlhttp://www.ladelec.com/teoria/electronica-digital/203-contadores-descendenteshttp://html.rincondelvago.com/comprobacion-puertas-logicas.htmlhttps://mega.co.nz/#!qERjXJBD!dGLSqDQlzwMT52qBCtgHagKKY51R372_IpmvOzyCCE4

13. TEMPORARIZADOR Funcionamiento y elementos Básicos Distintas configuraciones Cálculos de valores en 555 Diseño con 555 Aplicaciones

R//:

EL TEMPORIZADOR 555

Page 65: Analisis_._1_

El temporizador 555 es un dispositivo versátil y muy utilizado, porq ue puede ser configurado de dos modos distintos, bien como multivibrador monoestable o como multivibrador aestable (oscilador). Un multivibrador aestable no tiene estados estables y varía, por consiguiente, una y otra vez (oscila) entre dos estados inestables, sin ayuda de ningún disparador externo.

FUNCIONAMIENTO BÁSICO.

En la figura 1 se muestra un diagrama funcional con los componentes internos de un temporizador 555. Los componentes son dispositivos cuyas salidas están a nivel alto cuando la tensión en la entrada positiva (+) es mayor que la tensión en la entrada negativa (-), y están a nivel bajo cuando la tensión de entrada – es mayor que la tensión de entrada +. El divisor de tensión, formado por tres resistencias de 5 KΩ, proporciona un nivel de disparo de 1/3 VCC y un nivel umbral de 2/3 VCC. La entrada de la tensión de control (pin 5) se puede emplear para ajustar externamente los niveles de disparo y umbral a otros valores en caso necesario. Cuando la entrada de disparo, normalmente a nivel alto, desciende momentáneamente por debajo de 1/3 VCC, la salida del comparador B conmuta de nivel bajo a nivel alto y pone en estado SET al latch S-R, haciendo que la salida (pin 3) pase a nivel alto y bloqueando al transistor de descarga Q1. La salida permanecerá a nivel alto hasta que la tensión umbral, normalmente a nivel bajo sobrepase 2/3 de VCC y haga que la salida del comparador A conmute de nivel bajo a nivel alto. Esto hace que el latch pase al estado RESET, con lo que la salida se pone de nuevo a nivel bajo, de manera que el transistor de descarga se activa. La entrada de puesta a cero (RESET) externa se puede utilizar para poner el latch a cero, independientemente del circuito umbral. Las entradas de disparo y umbral (pines 2 y 6) se controlan mediante componentes externos, para establecer el modo de funcionamiento como monoestable o aestable.

FIG: 1

FUNCIONAMIENTO COMO MONOESTABLE.

Para configurar un temporizador 555 como monoestable no redisparable, se

Page 66: Analisis_._1_

utilizan una resistencia y un condensador externos, tal como se muestra en la figura 2. La anchura del impulso de salida se determina mediante la constante de tiempo, que se calcula a partir de R1 y C1 según la siguiente fórmula:

Tw = 1.1 R1 C1

La entrada de la tensión de control no se utiliza y se conecta a un condensador de desacoplo C2, para evitar la aparición de ruido que pudiera afectar los niveles umbral y de disparo.

FIG: 2

FIG: 3

Antes de aplicar el impulso de disparo, la salida está a nivel bajo y el transistor de descarga Q1 conduce, como se observa en la figura

3(a). Cuando se aplica un impulso de disparo negativo, la salida pasa a nivel alto y el transistor de descarga se bloquea, permitiendo al condensador C1 comenzar a cargarse a través de R1, como se muestra en la figura

Page 67: Analisis_._1_

3(b). Cuando C1 se ha cargado hasta 1/3 de VCC, la salida pasa de nuevo a nivel bajo y Q1 entra en conducción inmediatamente, descargándose C1, como se muestra en la figura

3(c). Como puede ver, la velocidad de carga de C1 determina cuánto tiempo va a estar la salida a nivel alto.

Fig. 4 (a). Impulso de disparo negativo.

Fig. 4(b)

Page 68: Analisis_._1_

Fig. 4(c). Funcionamiento del temporizador 555 configurado como monoestable.

FUNCIONAMIENTO COMO AESTABLE

En la figura 4 se muestra un temporizador 555 conectado para funcionar como multivibrador aestable, que es un oscilador libre no sinusoidal. Observe que, en este caso, la entrada umbral (THRESH) está conectada a la entrada de disparo (TRIG). Los componentes externos R1, R2 y C1 conforman la red de temporización que determina la frecuencia de oscilación. El condensador C2 de 0.01 µF conectado a la entrada de control (CONT) sirve únicamente para desacoplar y no afecta en absoluto al funcionamiento del resto del circuito; en algunos casos se puede eliminar.

Page 69: Analisis_._1_

Figura 5. El temporizador 555 configurado como multivibrador aestable.

Inicialmente, cuando se conecta la alimentación, el condensador está descargado y, por lo tanto, la tensión de disparo (pin 2) es 0 V. Esto da lugar a que la salida del comparador B esté a nivel alto y la salida del comparador A nivel bajo, forzando la salida del latch, y por consiguiente la base de Q1 a nivel bajo, manteniendo al transistor bloqueado. A continuación, C1 comienza a descargarse a través de R1 y R2, tal como se indica en la figura 5. Cuando la tensión del condensador alcanza el valor de 1/3 VCC, el comparador B cambia a su nivel de salida bajo, y cuando la tensión del condensador alcanza el valor de 2/3 VCC, el comparador A cambia a su nivel de salida alto. Esto pone en estado de RESET al latch, haciendo que la base de Q1 pase a nivel alto, activando el transistor. Esta secuencia origina un camino de descarga para el condensador a través de R2 y del transistor, tal como se indica. El condensador comienza ahora a descargarse, haciendo que el comparador A pase a nivel bajo. En el momento en que el condensador se descarga hasta el valor 1/3 VCC, el comparador B conmuta a nivel alto, poniendo al latch en estado SET, lo que hace que la base Q1 se ponga a nivel bajo, bloqueando el transistor. De nuevo comienza otro ciclo de carga, y el proceso se repite. El resultado es una señal de salida rectangular cuyo ciclo de trabajo depende de los valores de R1 y R2. La frecuencia de oscilación viene dada por la siguiente formula.

f = 1.44(R1 + 2R2 )C1

El ciclo de trabajo de salida puede ser ajustado seleccionando R1 y R2. Dado que C1 se carga a través de R1 + R2 y se descarga únicamente a través R2 , se puede conseguir ciclos de trabajo de un mínimo del 50 % aproximadamente, si R2 >> R1 , de forma que los tiempos de carga y descarga sean aproximadamente iguales.

Fig. 6. Funcionamiento del temporizador 555 configurado como aestable.

Page 70: Analisis_._1_

La expresión para el ciclo de trabajo se obtiene de la manera siguiente. El intervalo de tiempo en que la salida esta a nivel alto (tA) representa lo que tarda C1 en cargarse desde1/3 VCC hasta 2/3 VCC. Esto se expresa como:

t A = 0.7 (R1 + R2 )C1

Fig.7. Frec. de oscilación en fun. de C1 y R1 + 2R2. Las líneas diagonales representan los valores de R1 + 2R2.

El intervalo de tiempo durante el que la salida está a nivel bajo (tB) representa lo que tarda C1 en descargarse desde 1/3 VCC hasta 2/3 VCC. Estos e expresa como:

tB = 0.7R2C1

El periodo, T, de la señal de salida es la suma de tA y tB.

T = t A + tB = 0.7(R1 + 2R2 )C1

Esto es el recíproco de f en la ecuación de la frecuencia. Finalmente, el ciclo de trabajo es:

Ciclo de trabajo = tH / T = tH / tH + tL

Ciclo de trabajo = ( R1 + R2 / R1 + 2R2 ) 100 %

Para conseguir ciclos de trabajo menores que el 50 %, se puede modificar el circuito de la figura 4, de modo que C1 se cargue sólo a través de R1 y se descargue a través de R2. Esto se consigue mediante un diodo D1 colocado tal y como se muestra en la figura 7. El ciclo de trabajo se puede hacer menor que el 50 %, haciendo R1 menor que R2. Bajo esta condición la expresión para el ciclo de trabajo es:

Page 71: Analisis_._1_

Ciclo de trabajo = (R1 / R1 + R2 100 %

Fig. 8. La adición de un diodo D1 permite ajustar el ciclo de trabajo de la salida a un valor menor del 50% haciendo R1 < R1.

Obtener el 555 a una frecuencia de 1251.3 Hz. Y un ciclo de trabajo del 80 %.

Realizando las respectivas cuentas para obtener los valores de las resistencias y el capacitor se obtiene lo siguiente:

F = 1251.3 Hz.

Ciclo de trabajo =

80

C1 = 0.001µF

R1 = 690.537.

Page 72: Analisis_._1_

R2 = 230.17El circuito que funciona en modo aestable con las características antes mencionadas

FIG: 9

La salida en el osciloscopio se muestra a continuación. La salida se muestra en diferentes escalas del osciloscopio vara poder observar perfectamente el ciclo de trabajo y la frecuencia que deseábamos.

FIG: 10

Page 73: Analisis_._1_

FIG: 11

FIG: 12

FIG: 13

Page 74: Analisis_._1_

CONCLUSIÓN

Con el desarrollo de este trabajo se trató de explicar lo más simplemente posible todas las aplicaciones de los contadores, donde se puede saber cómo son los números MOD donde se designa la cantidad de flip-flops que se deben utilizar. También la forma de onda se disminuye a la mitad de la frecuencia de entrada en cada salida del flip-flop.

Page 75: Analisis_._1_

BIBLIOGRAFIA

https://docs.google.com/viewer?a=v&q=cache:5s9bsY0r3CAJ:ftp://ftp.ehu.es/cidira/dptos/depjt/Electronica_Industrial_Grado/DIGITAL/Tema%25208%2520Contadores.pdf+contador+sincrono+y+asincrono+filetype:pdf&hl=es-419&gl=co&pid=bl&srcid=ADGEESh-uZ9VgVxjvWPzD26kQMRPSnrdaktXvUcE7neMD9P7yoK7ZAMZlKA_FPJ37BSa_VZN4zkxxVudhhu0tNCyHu87BDbFLWxDH2vsWN-9Z40_AYKM5BSU0xw8r1G80mTf243KhzP3&sig=AHIEtbThT5T1zqF4LCuebYUjdnF_uupocw

http://books.google.com.co/books?id=FLoQI6to_R4C&pg=PA228&lpg=PA228&dq=Registros+de+Desplazamiento+Entrada/Salida+Serie+Entrada+Serie/Salida+Paralelo+Entrada+Paralelo/Salida+Serie+Entrada/Salida+Paralelo+Bidireccionales&source=bl&ots=YYDNerrxYd&sig=4Bb7zg2qV2eUBw01nPV4Yd8BY50&hl=es&sa=X&ei=AEJXUd6xPOv00QGQv4HIAg&ved=0CCoQ6AEwAA#v=onepage&q&f=truehttp://ocw.pucv.cl/cursos-1/sistemas-digitales/materiales-de-clases-1/catedras/tema-11 [email protected]

http://www.slideshare.net/kmaricha2/savedfiles?s_title=medios-de-almacenamientos&user_login=guestcdcc3d&from=

http://www.slideshare.net/guestcdcc3d/medios-de-almacenamientos?utm_source=slideshow03&utm_medium=ssemail&utm_campaign=share_slideshow_loggedout

http://www.google.com.co/#hl=es&sclient=psy-ab&q=%EF%82%A7%09DISQUETES&oq=%EF%82%A7%09DISQUETES&gs_l=serp.3...2306.2306.0.3134.1.1.0.0.0.0.154.154.0j1.1.0...0.0...1c.1.7.psy-ab.GmEWeK8bBf8&pbx=1&bav=on.2,or.r_qf.&fp=4cd95b458106034c&biw=1088&bih=533

http://es.wikipedia.org/wiki/Disquete

http://www.monografias.com/trabajos12/ttl/ttl.shtmlhttp://www.ladelec.com/teoria/electronica-digital/203-contadores-descendenteshttp://html.rincondelvago.com/comprobacion-puertas-logicas.htmlhttps://mega.co.nz/#!qERjXJBD!dGLSqDQlzwMT52qBCtgHagKKY51R372_IpmvOzyCCE4

http://www.profesormolina.com.ar/electronica/componentes/int/sist_digit.htm http:// es.scribd.com/doc/43936048/Unidad-Central-de-Proceso-Cpuhttp://es.wikipedia.org/wiki/Microprocesadorhttp://www.monografias.com/trabajos11/micro/micro.shtml

Fundamentos de Sistemas Digitales.

Thomas L.

Page 76: Analisis_._1_

Floyd. Sexta

edición.

Prentice

may.

Novo, Pío. Lógica digital y microprogramable.

España: Marcombo, 2008. p 221.

http://site.ebrary.com/lib/bibliotecacucsp/Doc?id=10212462&ppg=236

Copyright © 2008. Marcombo. All rights reserved.

Novo, Pío. Lógica digital y microprogramable.

España: Marcombo, 2008. p 222.

http://site.ebrary.com/lib/bibliotecacucsp/Doc?id=10212462&ppg=237

Copyright © 2008. Marcombo. All rights reserved.

Novo, Pío. Lógica digital y microprogramable.

España: Marcombo, 2008. p 224.

http://site.ebrary.com/lib/bibliotecacucsp/Doc?id=10212462&ppg=239

Copyright © 2008. Marcombo. All rights reserved.

Novo, Pío. Lógica digital y microprogramable.

España: Marcombo, 2008. p 223.

http://site.ebrary.com/lib/bibliotecacucsp/Doc?id=10212462&ppg=238

Copyright © 2008. Marcombo. All rights reserved.

Novo, Pío. Lógica digital y microprogramable.

España: Marcombo, 2008. p 223.

http://site.ebrary.com/lib/bibliotecacucsp/Doc?id=10212462&ppg=238

Page 77: Analisis_._1_

Copyright © 2008. Marcombo. All rights reserved.

López Guillén, Elena; Marrón Romera, Marta; Bravo Muñoz, Ignacio. Fundamentos de electrónica (2a.ed.).

España: Servicio de Publicaciones. Universidad de Alcalá, 2009. p 346.

http://site.ebrary.com/lib/bibliotecacucsp/Doc?id=10280247&ppg=346

Copyright © 2009. Servicio de Publicaciones. Universidad de Alcalá. All rights reserved.

López Guillén, Elena; Marrón Romera, Marta; Bravo Muñoz, Ignacio. Fundamentos de electrónica (2a.ed.).

España: Servicio de Publicaciones. Universidad de Alcalá, 2009. p 354.

http://site.ebrary.com/lib/bibliotecacucsp/Doc?id=10280247&ppg=354

Copyright © 2009. Servicio de Publicaciones. Universidad de Alcalá. All rights reserved.

López Guillén, Elena; Marrón Romera, Marta; Bravo Muñoz, Ignacio. Fundamentos de electrónica (2a.ed.).

España: Servicio de Publicaciones. Universidad de Alcalá, 2009. p 354.

http://site.ebrary.com/lib/bibliotecacucsp/Doc?id=10280247&ppg=354

Copyright © 2009. Servicio de Publicaciones. Universidad de Alcalá. All rights reserved.

López Guillén, Elena; Marrón Romera, Marta; Bravo Muñoz, Ignacio. Fundamentos de electrónica (2a.ed.).

España: Servicio de Publicaciones. Universidad de Alcalá, 2009. p 355.

http://site.ebrary.com/lib/bibliotecacucsp/Doc?id=10280247&ppg=355

Copyright © 2009. Servicio de Publicaciones. Universidad de Alcalá. All rights reserved

López Guillén, Elena; Marrón Romera, Marta; Bravo Muñoz, Ignacio. Fundamentos de electrónica (2a.ed.).

Page 78: Analisis_._1_

España: Servicio de Publicaciones. Universidad de Alcalá, 2009. p 363.

http://site.ebrary.com/lib/bibliotecacucsp/Doc?id=10280247&ppg=363

Copyright © 2009. Servicio de Publicaciones. Universidad de Alcalá. All rights reserved.

López Guillén, Elena; Marrón Romera, Marta; Bravo Muñoz, Ignacio. Fundamentos de electrónica (2a.ed.).

España: Servicio de Publicaciones. Universidad de Alcalá, 2009. p 364.

http://site.ebrary.com/lib/bibliotecacucsp/Doc?id=10280247&ppg=364

Copyright © 2009. Servicio de Publicaciones. Universidad de Alcalá. All rights reserved.

López Guillén, Elena; Marrón Romera, Marta; Bravo Muñoz, Ignacio. Fundamentos de electrónica (2a.ed.).

España: Servicio de Publicaciones. Universidad de Alcalá, 2009. p 365.

http://site.ebrary.com/lib/bibliotecacucsp/Doc?id=10280247&ppg=365

Copyright © 2009. Servicio de Publicaciones. Universidad de Alcalá. All rights reserved.