369
Vivado Design Suite プロパティ リファレンス ガイド UG912 (v2019.2) 2020 1 15 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に よっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきまし ては、必ず最新英語版をご参照ください。

Vivado Design Suite - japan.xilinx.com

  • Upload
    others

  • View
    9

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Vivado Design Suite - japan.xilinx.com

Vivado Design Suite プロパティ リファレンス ガイド

UG912 (v2019.2) 2020 年 1 月 15 日

この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきましては、必ず最新英語版をご参照ください。

Page 2: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 2UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

改訂履歴

次の表に、 この文書の改訂履歴を示します。

セクシ ョ ン 改訂内容

2020 年 1 月 15 日 バージ ョ ン 2019.2

資料全体

さまざまなプロパティの UltraScale+ アーキテクチャのサ

ポート を含めてアップデート。

資料全体で編集的なアップデート。

「CLOCK_LOW_FANOUT」BUFGCE グローバル ク ロ ッ ク バッファー (get_cells) で駆

動されるフ リ ップフロ ップのサポート を追加。

「HLUTNM」 および 「LUTNM」説明、 適用可能なオブジェク ト 、 影響を受けるフローの段階

をアップデート。

「IOB」レジスタ (get_ports) を含めるために適用可能なオブジェ

ク ト をアップデート。

「REG_TO_SRL」 および 「SRL_TO_REG」 新しいプロパティを追加。

「USED_IN」 値の リ ス ト をアップデート。

2019 年 7 月 14 日 バージ ョ ン 2019.1

「ASYNC_REG」 SystemVerilog logic 構文でのサポートについて記述。

「KEEP_HIERARCHY」 RTL のみの使用に関する記述を削除。

「PROHIBIT」 RAMB サイ トでの PROHIBIT の使用に関する注記を追加。

「USER_CROSSING_SLR」 USER_SLR_ASSIGNMENT を使用する場合の優先順位を修

正。

「USER_SLR_ASSIGNMENT」 アプ リ ケーシ ョ ン オブジェク ト を階層セルに制限。

Page 3: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 3UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

目次

改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2

第 1 章: Vivado Design Suite のファースト クラス オブジェク ト概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7この資料から例をコピーする場合 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8ネッ ト リ ス トおよびデバイス オブジェク ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9ブロ ッ ク デザイン オブジェク ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12ハードウェア マネージャー オブジェク ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)BD_ADDR_SEG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16BD_ADDR_SPACE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19BD_CELL. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21BD_INTF_NET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23BD_INTF_PIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25BD_INTF_PORT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28BD_NET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30BD_PIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32BD_PORT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34BEL. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36BEL_PIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40CELL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42CLOCK. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46CLOCK_REGION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49DIAGRAM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51HW_AXI. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52HW_BITSTREAM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54HW_CFGMEM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56HW_DEVICE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58HW_ILA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61HW_ILA_DATA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64HW_PROBE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65HW_SERVER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67HW_SIO_GT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68HW_SIO_GTGROUP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78HW_SIO_IBERT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79HW_SIO_PLL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81HW_SIO_RX . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83HW_SIO_TX . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89HW_SYSMON . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92

Page 4: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 4UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

HW_TARGET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96HW_VIO. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98IO_BANK. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100IO_STANDARD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102NET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104NODE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108PACKAGE_PIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110PIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112PIP または SITE_PIP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115PKGPIN_BYTEGROUP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118PKGPIN_NIBBLE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120PORT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122SITE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125SLR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129TILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131TIMING_PATH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135WIRE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 138

第 3 章: 主なプロパティの説明プロパティ情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 140ASYNC_REG. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141AUTO_INCREMENTAL_CHECKPOINT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 145BEL. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 147BLACK_BOX . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 149BLOCK_SYNTH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151BUFFER_TYPE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153CARRY_REMAP. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 154CASCADE_HEIGHT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156CELL_BLOAT_FACTOR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 157CFGBVS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159CLOCK_BUFFER_TYPE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 161CLOCK_DEDICATED_ROUTE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 163CLOCK_DELAY_GROUP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 166CLOCK_LOW_FANOUT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 168CLOCK_REGION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 170CLOCK_ROOT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 172CONFIG_MODE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174CONFIG_VOLTAGE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 176CONTAIN_ROUTING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 178CONTROL_SET_REMAP. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 180DCI_CASCADE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 182DELAY_BYPASS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 184DIFF_TERM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 185DIFF_TERM_ADV . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 188DIRECT_ENABLE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 190DIRECT_RESET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 192DONT_TOUCH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 194DQS_BIAS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 197DRIVE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 200EDIF_EXTRA_SEARCH_PATHS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202

Page 5: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 5UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

EQUALIZATION. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203EQUIVALENT_DRIVER_OPT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 205EXCLUDE_PLACEMENT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 207FSM_ENCODING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 208FSM_SAFE_STATE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 210GATED_CLOCK . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 212GENERATE_SYNTH_CHECKPOINT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 214H_SET および HU_SET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 216HIODELAY_GROUP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 220HLUTNM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 223IBUF_LOW_PWR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 227IN_TERM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 229INCREMENTAL_CHECKPOINT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 232INTERNAL_VREF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 234IO_BUFFER_TYPE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 236IOB . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 238IOB_TRI_REG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 240IOBDELAY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 241IODELAY_GROUP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 243IOSTANDARD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 246IP_REPO_PATHS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 249IS_ENABLED . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 251KEEP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 253KEEP_COMPATIBLE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 256KEEP_HIERARCHY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 258KEEPER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 261LOC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 263LOCK_PINS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 265LOCK_UPGRADE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 269LUTNM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 271LUT_REMAP. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 275LVDS_PRE_EMPHASIS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 277MARK_DEBUG. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 279MAX_FANOUT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 282MUXF_REMAP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 284ODT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 286OFFSET_CNTRL. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 288PACKAGE_PIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 290PATH_MODE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 292PBLOCK. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 294POST_CRC. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 296POST_CRC_ACTION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 298POST_CRC_FREQ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 300POST_CRC_INIT_FLAG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 302POST_CRC_SOURCE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 304PRE_EMPHASIS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 306PROCESSING_ORDER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 308PROHIBIT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 310PULLDOWN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 311PULLTYPE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 313

Page 6: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 6UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

PULLUP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 315RAM_DECOMP. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 317RAM_STYLE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 319REF_NAME . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 321REF_PIN_NAME. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 322REG_TO_SRL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 323RLOC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 324RLOCS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 328RLOC_ORIGIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 330ROUTE_STATUS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 333RPM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 335RPM_GRID . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 336SEVERITY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 338SLEW . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 340SRL_TO_REG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 343SYNTH_CHECKPOINT_MODE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 344U_SET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 347UNAVAILABLE_DURING_CALIBRATION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 351USE_DSP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 353USED_IN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 355USER_CLOCK_ROOT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 357USER_CROSSING_SLR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 359USER_SLL_REG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 361USER_SLR_ASSIGNMENT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 363VCCAUX_IO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 365

付録 A: その他のリソースザイ リ ンクス リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 367ソ リ ューシ ョ ン センター . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 367Documentation Navigator およびデザイン ハブ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 367参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 368ト レーニング リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 369お読みください: 重要な法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 369

Page 7: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 7UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 1 章

Vivado Design Suite のファースト クラス オブジェク ト

概要

この文書では、 ファース ト ク ラス オブジェク ト 、 ザイ リ ンクス Vivado® Design Suite で使用可能なオブジェク トのプ

ロパティについて説明します。 含まれる内容は次のとおりです。

• 第 1 章 「Vivado Design Suite のファース ト ク ラス オブジェク ト 」 : FPGA デザイン データベースをモデル化する

ため Vivado Design Suite で使用されるさまざまなデザインおよびデバイスのオブジェク トについて説明します。

カテゴ リ別にオブジェク ト を示し、 次の章のオブジェク トの説明へのリ ンクを示します。

• 第 2 章 「ファース ト ク ラス オブジェク トの リ ス ト (アルファベッ ト順)」 : Vivado Design Suite のファース ト ク ラ

ス オブジェク ト をアルファベッ ト順にリ ス ト します。 オブジェク トの定義、 関連オブジェク トの リ ス ト 、 各オ

ブジェク トに関連付けられているプロパティのリ ス ト を含みます。

• 第 3 章 「主なプロパティの説明」 : Vivado Design Suite プロパティの説明、 サポート されているアーキテクチャ、

適用可能エレ メン ト、 値、 構文例 (Verilog、 VHDL、 XDC)、 影響のあるデザイン フロー ステップなどについて

項目別に説明します。

• 付録 A 「その他のリ ソース」 : https://japan.xilinx.com/support のザイ リ ンクス サポート ウェブサイ トから入手可

能な リ ソースおよび資料を リ ス ト します。

Page 8: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 8UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 1 章: Vivado Design Suite のファースト クラス オブジェク ト

この資料から例をコピーする場合

注意: この資料からコードに構文またはコード例をコピーする前に、 このセクシ ョ ンを注意してお読みください。

この資料には構文およびコード例が多く含まれており、 これらの例を利用してコードにプロパティを挿入できます。

これらを PDF からコードに直接コピーする場合、 次の問題があ り ます。

• PDF からコード例をコピーして Vivado の Tcl コンソール、 Tcl スク リプ ト、 XDC ファ イルに貼り付ける場合、

ダッシュ (-) がエヌダッシュまたはエムダッシュに置き換えられる可能性があ り ます。

• PDF 資料の改行マークが例に挿入され、 Tcl スク リプ ト または XDC ファ イルでエラーとなるこ とがあ り ます。

• 次のページにまたがる例をコピーする と、 PDF のヘッダーおよびフッ ターも一緒にコピーされ、 Tcl スク リプ ト

または XDC ファ イルでエラーとなるこ とがあ り ます。

これらの問題を回避するには、 ASCII テキス ト エディ ターでコード例にある不必要なマーカーや情報を削除してか

ら、 コード、 Vivado Design Suite の Tcl シェル、 または Tcl コンソールに貼り付けるよ うにして ください。

Page 9: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 9UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 1 章: Vivado Design Suite のファースト クラス オブジェク ト

ネッ ト リス トおよびデバイス オブジェク ト

Vivado Design Suite では、 イン メモ リ デザイン データベースで数多くのファース ト ク ラス オブジェク トがサポート

されています。 このオブジェク ト とは、 論理デザインのセル、 ネッ ト 、 ポートのほか、 ターゲッ ト ザイ リ ンクス デバイスのデバイス リ ソース、 プラ ッ ト フォーム ボード、 Vivado Design Suite 特有の機能で使用されるオブジェク ト (IP インテグレーターで使用されるブロ ッ ク デザイン オブジェク トや Vivado ハードウェア マネージャーで使用され

るハード ウェア オブジェク ト など) のこ とです。 論理デザインのネッ ト リ ス ト オブジェク トは、 Vivado Design Suite でターゲッ ト デバイスまたはボードのデバイス オブジェク トにマップされます。 9 ページの図 1-1 は一部の Vivado ファース ト ク ラス オブジェク トの関連性を示したものです。 この図は説明用のものであ り、 Vivado ファース ト ク ラ

ス オブジェク トすべてを網羅したものではなく、 またその関連性をすべて説明しているわけではあ り ません。

図 1-1 の上部に表示されているネッ ト リ ス ト オブジェク トは、 FPGA にプログラムするための論理デザインの一部で

す。 図の下半分に表示されているデバイス オブジェク トは、 実際の物理的な FPGA デバイスの一部で、 ク ロ ッ ク領

域、 タイル、 サイ ト、 CLB などのエリ ア リ ソースが含まれます。 また、 デバイス オブジェク トには、 パッケージ ピンおよび I/O バンク (図の左側) のほか、 ノード、 ワイヤ、 PIP などの配線リ ソース (図の右側) も含まれます。

X-Ref Target - Figure 1-1

図 1-1: ネッ ト リス トおよびデバイス オブジェク ト

Net

Pin

Port

Clock

Cell

Bel

Site/CLB

Clock Region

Package Pin

Bel Pin

Site Pin

Site Pip

I/O Standard

I/O Bank

Wire

Node

Pip

Tile

Timing Path

SLR

PkgPin_ByteGroup

PkgPin_Nibble

X14826-071619

Page 10: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 10UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 1 章: Vivado Design Suite のファースト クラス オブジェク ト

Vivado Design Suite には、 ネッ ト リ ス ト デザインとま とめてタイ ミ ング レポート を作成し、配置配線結果を制約する

タイ ミ ング オブジェク ト など、 ファース ト ク ラス オブジェク トに関するカテゴ リがほかにもあ り ます。 ネッ ト リ ス

トおよびデバイス オブジェク トに関連付けられているタイ ミ ング オブジェク トによ り、 インプ リ メン ト されたデザ

インのタイ ミ ング解析を完全に実行できます。 タイ ミ ング オブジェク トには、 ク ロ ッ ク、 タイ ミ ング パス、 遅延オ

ブジェク トがあ り ます。

オブジェク ト間の関連性は、 オブジェク ト ど う しを結ぶ矢印で示されています。

• 矢印が両方のオブジェク ト を指している場合は、 クエ リ をどちらの方向からも指定できる関係であるこ とを示

しています。 たとえば、 特定ネッ トに接続されているセル (get_cells -of_objects [get_nets]) をクエ

リ処理したり、 特定セルに接続されているネッ ト (get_nets -of_objects [get_cells]) をクエ リ処理で

きます。

• 矢印が一方のみを指している場合は、 その矢印の方向にのみクエリ を指定できる関係であるこ とを示していま

す。 たとえば、 図 1-1 の例を使用して説明する と、 特定クロ ッ ク領域にある基本エレ メン トは取得できますが (get_bels -of_objects [get_clock_regions])、 特定の基本エレ メン トに関連付けられたクロ ッ ク領域

を取得するこ とはできません。

ファース ト ク ラス オブジェク トの説明、 ほかのオブジェク ト との関係、 オブジェク トで定義されているプロパティ

については、 後で説明します。

ネッ ト リス ト オブジェク ト

• 42 ページの 「CELL」

• 46 ページの 「CLOCK」

• 104 ページの 「NET」

• 112 ページの 「PIN」

• 122 ページの 「PORT」

• 135 ページの 「TIMING_PATH」

デバイス リソース オブジェク ト

• 36 ページの 「BEL」

• 40 ページの 「BEL_PIN」

• 49 ページの 「CLOCK_REGION」

• 100 ページの 「IO_BANK」

• 102 ページの 「IO_STANDARD」

• 108 ページの 「NODE」

• 110 ページの 「PACKAGE_PIN」

• 115 ページの 「PIP または SITE_PIP」

• 118 ページの 「PKGPIN_BYTEGROUP」

• 120 ページの 「PKGPIN_NIBBLE」

• 125 ページの 「SITE」

• 129 ページの 「SLR」

Page 12: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 12UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 1 章: Vivado Design Suite のファースト クラス オブジェク ト

ブロック デザイン オブジェク ト

ブロ ッ ク デザインは、 インターコネク ト された IP コアで構成される複雑なサブシステム デザインで、 スタンドアロ

ン デザインと して使用できるほか、 その他のデザインに統合するこ と もできます。 ブロ ッ ク デザインまたはダイア

グラムは、 Vivado Design Suite の IP インテグレーターを使用して作成できます。 これらは、 Vivado Design Suite IDE の IP インテグレーターのキャンバス、 または Tcl コマンドを使用してインタラ クティブに作成できます。

ブロ ッ ク デザイン ダイアグラム オブジェク トは、 前に説明したネッ ト リ ス ト オブジェク トに構造的にかなり類似

しています。 図 1-2 に、 ブロ ッ ク デザインまたはダイアグラムを構成する異なるデザイン オブジェク ト間の関係を

示します。

上の図に示すよ うに、 ブロ ッ ク ダイアグラム オブジェク トには次が含まれます。

• 51 ページの 「DIAGRAM」

• 19 ページの 「BD_ADDR_SPACE」

• 16 ページの 「BD_ADDR_SEG」

• 21 ページの 「BD_CELL」

• 23 ページの 「BD_INTF_NET」

X-Ref Target - Figure 1-2

図 1-2: ブロック デザイン オブジェク ト

bd_cell

bd_intf_pin

bd_intf_port

bd_intf_net

bd_port

bd_pin bd_net

diagram

bd_addr_space

bd_addr_seg

X14843-081315

Page 13: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 13UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 1 章: Vivado Design Suite のファースト クラス オブジェク ト

• 25 ページの 「BD_INTF_PIN」

• 28 ページの 「BD_INTF_PORT」

• 30 ページの 「BD_NET」

• 32 ページの 「BD_PIN」

• 34 ページの 「BD_PORT」

Page 14: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 14UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 1 章: Vivado Design Suite のファースト クラス オブジェク ト

ハードウェア マネージャー オブジェク ト

ハードウェア マネージャーは Vivado Design Suite の機能で、 デバイス プログラマまたはデバッグ ボードに接続した

り、 プログラムされたハード ウェア デバイスを実行したりできます。 ハード ウェア マネージャーを使用する と、 デ

バイス上のデバッグ ロジッ クを実行して、 現在の値を設定または取り出す信号にアクセスできるよ うにな り ます。

図 1-3 に、 Vivado ハードウェア マネージャーのデバッグ コアとオブジェク トの多く を示します。

デバッグ コアは、 ザイ リ ンクス IP カタログを使用して RTL デザインにインスタンシエートできます。 ILA または VIO デバッグ コアの場合は、 ネッ ト リ ス ト ベースのデバッグ フローを使用して合成済みネッ ト リ ス トに挿入できま

す。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908) [参照 23] を参照してくださ

い。

上記の図に示すよ うに、 Vivado ハードウェア マネージャー オブジェク トには次が含まれます。

• 52 ページの 「HW_AXI」

• 54 ページの 「HW_BITSTREAM」

• 56 ページの 「HW_CFGMEM」

• 58 ページの 「HW_DEVICE」

• 61 ページの 「HW_ILA」

X-Ref Target - Figure 1-3

図 1-3: ハードウェア マネージャー オブジェク ト

hw_server

hw_vio

hw_target hw_device

hw_ilahw_sysmon hw_sio_iberthw_axi

hw_probe

hw_ila_data

hw_bitstream

hw_cfgmem

hw_axi_txn

hw_sio_gtgroup

hw_sio_gt

hw_sio_tx hw_sio_rx

hw_sio_pll

hw_sio_scan

hw_sio_sweep

hw_sio_link

hw_sio_linkgroup

hw_sysmon_reg

X14844-081315

Page 15: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 15UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 1 章: Vivado Design Suite のファースト クラス オブジェク ト

• 64 ページの 「HW_ILA_DATA」

• 65 ページの 「HW_PROBE」

• 67 ページの 「HW_SERVER」

• 68 ページの 「HW_SIO_GT」

• 78 ページの 「HW_SIO_GTGROUP」

• 79 ページの 「HW_SIO_IBERT」

• 81 ページの 「HW_SIO_PLL」

• 83 ページの 「HW_SIO_RX」

• 89 ページの 「HW_SIO_TX」

• 92 ページの 「HW_SYSMON」

• 96 ページの 「HW_TARGET」

• 98 ページの 「HW_VIO」

Page 16: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 16UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章

ファースト クラス オブジェク トのリスト (アルファベッ ト順)

BD_ADDR_SEG

説明

アドレス セグメン ト (bd_addr_seg オブジェク ト ) は、 メモ リ範囲の位置およびサイズを示すもので、 範囲 (サイズ) と開始オフセッ ト (オプシ ョ ン) が含まれます。

IP インテグレーターでは、 さまざまなメモ リ マップされたマスターおよびスレーブ インターフェイスに対し、 業界

標準の IP-XACT データ フォーマッ トに従って、 終点のマスターおよびスレーブのメモ リ要件および機能をキャプ

チャします。

アドレス指定可能なスレーブ インターフェイスでは、 メモ リ マップと呼ばれるアドレス セグメン ト コンテナーが

参照されます。 これらのメモ リ マップの名前は通常、 S_AXI のなど、 スレーブ インターフェイス ピンに従って付け

られます (必須ではあ り ません)。

メモ リ マップには、 スレーブ アドレス セグメン トが含まれます。 これらのアドレス セグメン トは、 メモ リ マップ

を参照するスレーブ インターフェイスのアドレス デコード ウ ィンド ウに該当します。 スレーブ セグメン ト を メモ

リ マップで指定する場合は、 範囲を含める必要があ り、 オプシ ョ ンでハード オフセッ ト を設定してスレーブをマス

ター アドレス空間の指定したオフセッ ト またはそのアパーチャにのみマップ可能であるこ とを示すこ とができます。

たとえば、 通常 AXI4-Lite スレーブ インターフェイスでは、 メモ リ範囲を示す 1 つのアドレス セグメン トのみを使

用してアドレス セグメン トが参照されますが、 ブ リ ッジのよ うに、 スレーブの中には複数のアドレス セグメン トが

含まれたり、 各アドレス デコード ウ ィンド ウのアドレス範囲が含まれるものがあ り ます。

スレーブ アドレス セグメン トは、 assign_bd_address または create_bd_addr_seg コマンドを使用してマス

ター アドレス空間に割り当ます。

マスター インターフェイスのアドレスを指定する と、 アドレス空間 (bd_addr_space) と呼ばれるアドレス セグメン ト コンテナーが参照されます。 このアドレス空間はセルのインターフェイス ピン (bd_intf_pin) で参照されます。 外部 AXI マスターの場合、 アドレス空間は外部インターフェイス ポート (bd_intf_port) で参照されます。 さまざまなプロ

ト コルの複数のインターフェイスは、 同じマスター アドレス空間を参照します。 たとえば、 MicroBlaze プロセッサ

のデータ アドレス空間は、 DLMB、 M_AXI_DP、 および M_AXI_DC インターフェイスで参照されます。

アドレス空間には、 マスター アドレス セグメン トが含まれます。 これらのマスター アドレス セグメン トはマス

ター アドレス空間に割り当てられたスレーブ アドレス セグメン ト と、 マスターがアクセスするオフセッ ト と範囲を

参照します。

Page 17: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 17UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

関連オブジェク ト

bd_addr_seg オブジェク トは、 マスター アドレス セグメン ト と スレーブ アドレス セグメン トの両方を参照します。

bd_addr_space オブジェク トは、 メモ リ マップとマスター アドレス空間の両方を参照します。

関連するアドレス空間とアドレス セグメン ト間は、 すべて取得できます。 次に例を示します。

# Get the slave address segments of a memory map space.get_bd_addr_segs -of_objects [get_bd_addr_spaces /mdm_1/S_AXI]

# Get the master address segments of amaster address space.get_bd_addr_segs -of_objects [get_bd_addr_spaces /Microblaze_0/Data]

# Get the slave adress segment from its referenced master address segment, or the # master address segment from its referencing slave address segment. get_bd_addr_segs -of_objects [get_bd_addr_segs <slave or master>_segment]

# Get the addr_segs referencing/referenced by interfaces.# Get all Master or slave interfaces.set vMB [get_bd_intf_pins -of_objects [get_bd_cells *] -filter {Mode == "Master"}]set vSB [get_bd_intf_pins -of_objects [get_bd_cells *] -filter {Mode == "Slave"}]

# Get master segmentsset vMS [get_bd_addr_segs -of_objects $vMB]

# Get slave segmentsset vSS [get_bd_addr_segs -of_objects $vSB]

X-Ref Target - Figure 2-1

図 2-1: ブロック デザインのアドレス空間およびアドレス セグメン ト

bd_cell

bd_intf_pinbd_intf_netbd_net

bd_addr_space

bd_addr_seg

X14845-081315

Page 18: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 18UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

プロパティ

次に、 ブロ ッ ク デザインのアドレス セグメン ト オブジェク ト (bd_addr_seg) のプロパティ と値の例を示します。

Property Type Read-only Visible ValueACCESS string false true read-writeCLASS string true true bd_addr_segEXEIMG string false true MEMTYPE string false true dataNAME string false true SEG_axi_gpio_0_RegOFFSET string false true 0x40000000PATH string true true /microblaze_0/Data/SEG_axi_gpio_0_RegRANGE string false true 0x00010000SECURE bool false true 0USAGE string false true register

bd_addr_seg オブジェク トのプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_bd_addr_segs ] 0]

Page 19: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 19UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

BD_ADDR_SPACE

説明

アドレス空間 (bd_addr_space オブジェク ト ) は、 マスター インターフェイスまたはブロ ッ ク デザイン外の AXI マス

ターに接続された AXI インターフェイス ポートの論理的にアドレス指定可能なメモ リ空間に割り当てられます。

Vivado Design Suite の IP インテグレーターでは、 業界標準の IP-XACT データ フォーマッ トに従って、 メモ リ要件お

よび機能がキャプチャされます。 ブロ ッ クの中CAN複数のマスター インターフェイスに関連するアドレス空間が 1 つ含まれるものがあ り ます。 たとえば、 システム バスおよび高速メモ リ バスの付いたプロセッサなどです。 その他

のコンポーネン ト CANは、 複数のマスター インターフェイス (命令用 1 つ、 データ用 1 つ) に関連するアドレス空間

が複数含まれるものがあ り ます。

マスター インターフェイスは、 アドレス空間 (bd_addr_space オブジェク ト ) を参照します。 AXI スレーブがマスター アドレス空間にマップされる場合は、 マスター アドレス セグメン ト (bd_addr_seg) オブジェク トが作成され、 スレー

ブのアドレス セグメン トがマスターへマップされます。

関連オブジェク ト

マスター アドレス セグメン ト (bd_addr_seg) は、 ブロ ッ ク デザインで見つかった AXI マスター インターフェイスの

アドレス空間に関連付けられており、 アドレス空間はセルのインターフェイス ピン (bd_intf_pin) で参照されます。

外部 AXI マスターは、 インターフェイス ポート (bd_intf_port) に関連しています。

これらの関連オブジェク トの bd_addr_space オブジェク トは、 次を使用する と取得できます。

get_bd_addr_spaces -of_objects [get_bd_cells /microblaze_0]get_bd_addr_segs -of_objects [get_bd_addr_spaces -of_objects [get_bd_cells /microblaze_0]]

X-Ref Target - Figure 2-2

図 2-2: ブロック デザインのアドレス空間およびアドレス セグメン ト

bd_cell

bd_intf_pinbd_intf_netbd_net

bd_addr_space

bd_addr_seg

X14845-081315

Page 20: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 20UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

次を使用する と、 ブロ ッ ク デザインのアドレス空間に関連するオブジェク ト を取得するこ と もできます。

get_bd_intf_pins -of_objects [get_bd_addr_spaces *SLMB]

プロパティ

次に、 ブロ ッ ク デザインのアドレス空間オブジェク ト (bd_addr_space) のプロパティ と値の例を示します。

Property Type Read-only Visible ValueCLASS string true true bd_addr_spaceNAME string false true DataOFFSET string false true 0x00000000PATH string true true /microblaze_0/DataRANGE string false true 0x100000000TYPE string false true

bd_addr_space オブジェク トのプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_bd_addr_spaces ] 0]

Page 21: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 21UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

BD_CELL

説明

ブロ ッ ク デザイン セル (bd_cell オブジェク ト ) は、 IP インテグレーターの IP コア オブジェク トのインスタンス、 ま

たは階層ブロッ ク デザイン セルです。 最下位セルは、 IP カタログのコアです。 階層セルは、 1 つ以上のレベルのロ

ジッ ク (最下位セルを含む) を含むモジュールまたはブロ ッ クです。

bd_cell オブジェク トの TYPE プロパティは、ブロ ッ ク デザイン セルが IP カタログからの最下位セルであるか (TYPE == IP)、 または追加ロジッ クを含む階層モジュールであるか (TYPE == HIER) を示します。

関連オブジェク ト

図 2-3 に示すよ うに、ブロ ッ ク デザイン セル (bd_cell) はブロッ ク デザインまたはダイアグラム オブジェク トに含ま

れます。 セルには、 ブロ ッ ク デザイン ピン (bd_pin) と インターフェイス ピン (bd_intf_pin) が含まれます。 階層的に

はブロッ ク デザイン ポート (bd_port) およびインターフェイス ポート (bd_intf_port) を含めるこ とができます。 これ

らは、 ネッ ト (bd_net) およびインターフェイス ネッ ト (bd_intf_net) によ り接続されます。 メモ リ関連のブロ ッ ク デザイン セルには、 アドレス空間 (bd_addr_space) とアドレス セグメン ト (bd_addr_seg) も含めるこ とができます。 たと

えば、 次を使用する と、 これらのオブジェク トに関連するブロ ッ ク デザイン セルを取得できます。

get_bd_cells -of_objects [get_bd_addr_spaces]

次を使用する と、 ブロ ッ ク デザイン セルに関連するオブジェク ト を取得できます。

get_bd_addr_spaces -of_objects [get_bd_cells]

また、 次を使用する と、 別のブロ ッ ク デザイン セルの階層的オブジェク トであるブロ ッ ク デザイン セルを取得す

るこ と もできます。

get_bd_cells -of_objects [get_bd_cells microblaze_0_axi_periph]

X-Ref Target - Figure 2-3

図 2-3: ブロック デザイン セル

bd_cell

bd_intf_pinbd_intf_netbd_pin bd_net

diagram

bd_addr_space

Page 22: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 22UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

プロパティ

ブロ ッ ク デザイン セル オブジェク トの特定のプロパティは、 そのオブジェク トの示すネッ トのタイプによって異な

り ます。次の表には、 Vivado Design Suite で bd_cell オブジェク トに割り当てられたプロパティの一部をその値の例と

共にリ ス ト しています。

Property Type Read-only Visible ValueCLASS string true true bd_cellCONFIG.C_ALL_INPUTS string false true 0CONFIG.C_ALL_INPUTS_2 string false true 0CONFIG.C_ALL_OUTPUTS string false true 1CONFIG.C_ALL_OUTPUTS_2 string false true 0CONFIG.C_DOUT_DEFAULT string false true 0x00000000CONFIG.C_DOUT_DEFAULT_2 string false true 0x00000000CONFIG.C_GPIO2_WIDTH string false true 32CONFIG.C_GPIO_WIDTH string false true 4CONFIG.C_INTERRUPT_PRESENT string false true 0CONFIG.C_IS_DUAL string false true 0CONFIG.C_TRI_DEFAULT string false true 0xFFFFFFFFCONFIG.C_TRI_DEFAULT_2 string false true 0xFFFFFFFFCONFIG.Component_Name string false true base_mb_axi_gpio_0_0CONFIG.GPIO2_BOARD_INTERFACE string false true CustomCONFIG.GPIO_BOARD_INTERFACE string false true led_4bitsCONFIG.USE_BOARD_FLOW string false true trueLOCATION string false true 5 1720 200LOCK_UPGRADE bool false true 0NAME string false true axi_gpio_0PATH string true true /axi_gpio_0SCREENSIZE string false true 180 116SDX_KERNEL string true false falseSDX_KERNEL_SIM_INST string true false SDX_KERNEL_SYNTH_INST string true false SDX_KERNEL_TYPE string true false SELECTED_SIM_MODEL string false true rtlTYPE string true true ipVLNV string true true xilinx.com:ip:axi_gpio:2.0

bd_cell オブジェク トのプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェル

または Tcl コンソールに貼り付けます。

report_property -all [lindex [get_bd_cells] 0]

Page 23: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 23UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

BD_INTF_NET

説明

インターフェイス とは共通のファンクシ ョ ンを共有する信号をグループ化したもので、 個別信号と複数バスの両方

が含まれます。 たとえば AXI4-Lite マスターには、 接続に必要な多くの信号と複数のバスが含まれます。 これらの信

号およびバスをインターフェイスにグループ化する と、 Vivado IP インテグレーターで共通のインターフェイスが識

別できるよ うにな り、 自動的に 1 つの手順で自動的に複数の接続が実行されます。

インターフェイスは、 IP-XACT 規格を使用して定義されます。 ザイ リ ンクスから提供されている標準インターフェ

イスは、 Vivado ツールのインス トール ディ レク ト リ data/ip/interfaces にあ り ます。 インターフェイスネッ ト 、 ピン、

ポートの詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 27] を参照してください。

ブロ ッ ク デザインのインターフェイス ネッ ト (bd_intf_net オブジェク ト ) は、ブロ ッ ク デザイン セルのインターフェ

イス ピンをほかのインターフェイス ピンまたは外部インターフェイス ポートに接続します。 bd_intf_net オブジェク

トは、 複数レベルのデザイン階層を介してブロ ッ ク デザイン セル間を接続します。 すべてのインターフェイス ネッ

トには、 デザインで識別できるよ うな名前が付きます。 これらのネッ トに接続されるすべてのブロ ッ ク デザイン セル、 インターフェイス ピン、 およびインターフェイス ポートは電気的に接続されています。

関連オブジェク ト

23 ページの図 2-4 に示すよ うに、 ブロ ッ ク デザイン インターフェイス ネッ ト (bd_intf_net オブジェク ト ) はブ

ロッ ク デザインまたはダイアグラムに含まれており、 インターフェイス ポート (bd_intf_port) に接続され、 イン

ターフェイス ピン (bd_intf_pin) を介してダイアグラムのブロ ッ ク デザイン セル (bd_cell) に接続されます。 ダイ

アグラムの bd_intf_nets、 bd_cell、 bd_intf_pin、 および bd_intf_port オブジェク トは次のよ うに取得できます。

X-Ref Target - Figure 2-4

図 2-4: ブロック デザイン インターフェイス ネッ ト

bd_cell

bd_intf_pin

bd_intf_port

bd_intf_net

bd_port

bd_pin bd_net

diagram

bd_addr_space

Page 24: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 24UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

get_bd_intf_nets -of_objects [get_bd_ports]

また、 特定の bd_intf_net に接続されるブロ ッ ク デザイン セル (bd_cell)、 bd_intf_pins、 または bd_intf_port オブジェク

トは次のよ うに取得できます。

get_bd_cells -of_objects [get_bd_intf_nets /INTERRUPT_1_1]

プロパティ

bd_intf_net オブジェク トのプロパティには、 次が含まれます。

Property Type Read-only Visible ValueCLASS string true true bd_intf_netNAME string false true microblaze_0_axi_periph_to_s00_couplersPATH string true true /microblaze_0_axi_periph/microblaze_0_axi_periph_to_s00_couplers

bd_intf_net オブジェク トのプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_bd_intf_nets] 0]

Page 25: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 25UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

BD_INTF_PIN

説明

インターフェイス とは共通のファンクシ ョ ンを共有する信号をグループ化したもので、 個別信号と複数バスの両方

が含まれます。 たとえば AXI4-Lite マスターには、 接続に必要な多くの信号と複数のバスが含まれます。 これらの信

号およびバスをインターフェイスにグループ化する と、 Vivado IP インテグレーターで共通のインターフェイスが識

別できるよ うにな り、 自動的に 1 つの手順で自動的に複数の接続が実行されます。

インターフェイスは、 IP-XACT 規格を使用して定義されます。 ザイ リ ンクスから提供されている標準インターフェ

イスは、 Vivado ツールのインス トール ディ レク ト リ data/ip/interfaces にあ り ます。 インターフェイスネッ ト 、 ピン、

ポートの詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 27] を参照してください。

ブロ ッ ク デザイン インターフェイス ピン (bd_intf_pin オブジェク ト ) は、 ブロ ッ ク デザイン セルの論理的接続ポイ

ン トです。 インターフェイス ピンは、 セルの内部を抽象化して、 使用しやすいよ うに簡素化できます。 インター

フェイス ピンは、 階層ブロ ッ ク デザイン セルまたは最下位セルに使用できます。

関連オブジェク ト

ブロ ッ ク デザイン インターフェイス ピンは、 ブロ ッ ク デザイン セル (bd_cell) に接続され、 ブロ ッ ク デザインまた

はダイアグラムのインターフェイス ネッ ト (bd_intf_net) を使用するこ とで、 その他のインターフェイス ピン (bd_intf_pin) またはインターフェイス ポート (bd_intf_port) に接続できます。

X-Ref Target - Figure 2-5

図 2-5: ブロック デザイン インターフェイス ピン

bd_cell

bd_intf_pinbd_intf_netbd_net

bd_addr_space

bd_addr_seg

X14848-081315

Page 26: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 26UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

bd_addr_space、 bd_addr_seg、 bd_cell、 および bd_intf_net オブジェク トの bd_intf_pins は、 次のよ うに取得できます。

get_bd_intf_pins -of_objects [get_bd_cells clk_wiz_1]

また、 次を使用する と、 特定の bd_intf ピンの bd_addr_spaces、 bd_addr_segs, bd_cells、 および bd_intf_nets を取得する

こ と もできます。

get_bd_addr_spaces -of_objects [get_bd_intf_pins microblaze_0/*]

プロパティ ブロ ッ ク デザイン インターフェイス ピン オブジェク トの特定のプロパティは、 そのピンのタイプによって変わる

こ とがあ り ます。 次の表には、 マスター AXI インターフェイスのピン オブジェク トに割り当てられたプロパティの

一部をその値の例と共にリ ス ト しています。

Property Type Read-only Visible ValueBRIDGES string false false CLASS string true true bd_intf_pinCONFIG.ADDR_WIDTH string true true 32CONFIG.ARUSER_WIDTH string true true 0CONFIG.AWUSER_WIDTH string true true 0CONFIG.BUSER_WIDTH string true true 0CONFIG.CLK_DOMAIN string true true base_mb_clk_wiz_1_0_clk_out1CONFIG.DATA_WIDTH string true true 32CONFIG.FREQ_HZ string true true 100000000CONFIG.HAS_BRESP string true true 1CONFIG.HAS_BURST string true true 0CONFIG.HAS_CACHE string true true 0CONFIG.HAS_LOCK string true true 0CONFIG.HAS_PROT string true true 1CONFIG.HAS_QOS string true true 0CONFIG.HAS_REGION string true true 0CONFIG.HAS_RRESP string true true 1CONFIG.HAS_WSTRB string true true 1CONFIG.ID_WIDTH string true true 0CONFIG.MAX_BURST_LENGTH string true true 1CONFIG.NUM_READ_OUTSTANDING string true true 1CONFIG.NUM_READ_THREADS string true true 1CONFIG.NUM_WRITE_OUTSTANDING string true true 1CONFIG.NUM_WRITE_THREADS string true true 1CONFIG.PHASE string true true 0.0CONFIG.PROTOCOL string true true AXI4LITECONFIG.READ_WRITE_MODE string true true READ_WRITECONFIG.RUSER_BITS_PER_BYTE string true true 0CONFIG.RUSER_WIDTH string true true 0CONFIG.SUPPORTS_NARROW_BURST string true true 0CONFIG.WUSER_BITS_PER_BYTE string true true 0CONFIG.WUSER_WIDTH string true true 0LOCATION string false true MODE string true true MasterNAME string false true M_AXI_DPPATH string true true /microblaze_0/M_AXI_DPTYPE string true true ipVLNV string true true xilinx.com:interface:aximm_rtl:1.0

Page 27: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 27UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

bd_intf_pin オブジェク トのプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_bd_intf_pins */*] 0]

または、 次の Tcl スク リプ ト を使用する と、 各ブロ ッ ク デザイン セルの bd_intf_pin オブジェク ト それぞれのプロパ

ティがレポート されます。

foreach x [get_bd_intf_pins -of_objects [get_bd_cells]] {puts "Next Interface Pin starts here

..............................................."report_property -all $x

}

Page 28: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 28UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

BD_INTF_PORT

説明 インターフェイス とは共通のファンクシ ョ ンを共有する信号をグループ化したもので、 個別信号と複数バスの両方

が含まれます。 たとえば AXI4-Lite マスターには、 接続に必要な多くの信号と複数のバスが含まれます。 これらの信

号およびバスをインターフェイスにグループ化する と、 Vivado IP インテグレーターで共通のインターフェイスが識

別できるよ うにな り、 自動的に 1 つの手順で自動的に複数の接続が実行されます。

インターフェイスは、 IP-XACT 規格を使用して定義されます。 ザイ リ ンクスから提供されている標準インターフェ

イスは、 Vivado ツールのインス トール ディ レク ト リ data/ip/interfaces にあ り ます。 インターフェイスネッ ト 、 ピン、

ポートの詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 27] を参照してください。

ブロ ッ ク デザイン インターフェイス ポートは、 特別なタイプの階層ピン (ブロ ッ ク ダイアグラムの最上位のピン) です。 ブロ ッ ク デザインでは、 ポートおよびインターフェイスが FPGA デザイン全体またはシステム レベル デザイ

ン内外のブロッ ク デザインまたはダイアグラムと外部接続との通信に使用される主なポートになり ます。

関連オブジェク ト

ブロ ッ ク デザイン インターフェイス ポート (bd_intf_port オブジェク ト ) はブロッ ク デザインまたはダイアグラムで

発生し、ブロ ッ ク デザイン インターフェイス ネッ ト (bd_intf_net) によってブロ ッ ク デザイン セル (bd_cell) のピンに

接続されます。 ダイアグラムの bd_intf_port、 またはブロ ッ ク デザイン インターフェイス ネッ トに接続されている bd_intf_port は、 次のコマンドで取得できます。

get_bd_intf_ports -of_objects [get_bd_intf_nets]

X-Ref Target - Figure 2-6

図 2-6: ブロック デザイン インターフェイス ポート

bd_cell

bd_intf_pin

bd_intf_port

bd_intf_net

bd_port

bd_pin bd_net

diagram

bd_addr_space

Page 29: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 29UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

次を使用する と、 bd_intf_port に接続されるインターフェイス ネッ ト を取得するこ と もできます。

get_bd_intf_nets -of_objects [get_bd_intf_ports CLK*]

プロパティ ブロ ッ ク デザイン インターフェイス ポート オブジェク トの特定のプロパティは、 そのポートのタイプによって変

わるこ とがあ り ます。 次の表には、 ク ロ ッ クの bd_intf_port オブジェク トに割り当てられたプロパティの一部をその

値の例と共にリ ス ト しています。

Property Type Read-only Visible ValueCLASS string true true bd_intf_portLOCATION string false true 1950 430MODE string true true MasterNAME string false true ddr4_sdramPATH string true true /ddr4_sdramVLNV string true true xilinx.com:interface:ddr4_rtl:1.0

bd_intf_port オブジェク トのプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_bd_intf_ports] 0]

Page 30: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 30UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

BD_NET

説明

ブロ ッ ク デザイン ネッ ト (bd_net オブジェク ト ) は、 IP インテグレーター ブロ ッ ク デザイン セルのピンをその他の

ピンまたは外部ポートに接続します。 bd_net オブジェク トは、 複数レベルのデザイン階層を介して接続され、 ブ

ロ ッ ク デザイン セルが接続されます。 すべてのネッ トには、 デザインで識別できるよ うな名前が付きます。 これら

のネッ トに接続されているすべてのブロ ッ ク デザイン セル、 ピン、 およびポートは、 電気的に接続されています。

関連オブジェク ト

ブロ ッ ク デザイン ネッ ト (bd_net オブジェク ト ) はブロッ ク デザインまたはダイアグラムで発生し、ポート (bd_port) に接続され、 ピン (bd_pin) を介してダイアグラムのブロ ッ ク デザイン セル (bd_cell) に接続されます。 ダイアグラム

の bd_nets、 bd_cell、 bd_pin、 および bd_port オブジェク トは次のよ うに取得できます。

get_bd_nets -of_objects [get_bd_ports]

また、 特定の bd_net に接続される bd_cells、 bd_pins、 または bd_port オブジェク トは次のよ うに取得できます。

get_bd_cells -of_objects [get_bd_nets clk_wiz*]

X-Ref Target - Figure 2-7

図 2-7: ブロック デザイン ネッ ト

bd_cell

bd_intf_port

bd_intf_net

bd_port

bd_pin bd_net

diagram

bd_addr_spac

Page 31: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 31UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

プロパティ

bd_net オブジェク トのプロパティには、 次が含まれます。

Property Type Read-only Visible ValueCLASS string true true bd_netNAME string false true clk_wiz_1_lockedPATH string true true /clk_wiz_1_locked

bd_net オブジェク トのプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェル

または Tcl コンソールに貼り付けます。

report_property -all [lindex [get_bd_nets] 0]

Page 32: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 32UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

BD_PIN

説明

ブロ ッ ク デザイン ピン (bd_pin オブジェク ト ) は、 ブロ ッ ク デザイン セルの論理的接続ポイン トです。 ブロ ッ ク デザイン ピンを使用する と、 セルの内部ロジッ クを抽象化して、 使用しやすいよ うに簡素化できます。 ピンは、 スカ

ラーまたはバス ピンで、 階層ブロ ッ ク デザイン セルまたは最下位セルで使用できます。

関連オブジェク ト

図 2-8 に示すよ うに、 ブロ ッ ク デザイン ピンは、 ブロ ッ ク デザイン セル (bd_cell) に接続され、 ブロ ッ ク デザイン

またはダイアグラムのネッ ト (bd_net) を使用するこ とで、 その他のピン (bd_pin) またはポート (bd_port) に接続でき

ます。

bd_cell および bd_net オブジェク トの bd_pins は、 次のよ うに取得できます。

get_bd_pins -of_objects [get_bd_cells clk_wiz_1]

また、 次を使用する と、 特定の bd_pin の bd_cell または bd_net を取得するこ と もできます。

get_bd_cells -of [get_bd_pins */Reset]

X-Ref Target - Figure 2-8

図 2-8: ブロック デザイン ピン

bd_cell

bd_intf_netbd_pin bd_net

diagram

bd_addr_space

Page 33: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 33UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

プロパティ

ブロ ッ ク デザイン ピン オブジェク トの特定のプロパティは、 そのピンのタイプによって変わるこ とがあ り ます。 次

の表には、 Vivado Design Suite で CLK タイプの bd_pin オブジェク トに割り当てられたプロパティの一部をその値の

例と共にリ ス ト しています。

Property Type Read-only Visible ValueCLASS string true true bd_pinDEFAULT_DRIVER string true true 0000DIR string true true OINTF string true true TRUELEFT string true true 3LOCATION string false true NAME string false true gpio_io_oPATH string true true /axi_gpio_0/gpio_io_oRIGHT string true true 0TYPE string true true undef

bd_net オブジェク トのプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェル

または Tcl コンソールに貼り付けます。

report_property -all [lindex [get_bd_pins */*] 0]

Page 34: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 34UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

BD_PORT

説明

ブロ ッ ク デザイン ポートは、 特別なタイプの階層ピン (ダイアグラムの最上位のピン) です。 ブロ ッ ク デザインで

は、 ポートは、 FPGA デザイン全体またはシステム レベル デザイン内外のブロッ ク デザインまたはダイアグラムと

の外部接続との通信に使用される主なポートです。

関連オブジェク ト

ブロ ッ ク デザイン ポート (bd_port オブジェク ト ) はブロッ ク デザインまたはダイアグラムに含まれており、 ブロ ッ

ク デザイン ネッ ト (bd_net) によって、ダイアグラムのブロ ッ ク デザイン セル (bd_cell) のピン (bd_pin) に接続されま

す。 ダイアグラムの bd_ports、 またはブロ ッ ク デザイン ネッ トに接続されている bd_ports は、 次のよ うに取得でき

ます。

get_bd_ports -of_objects [get_bd_nets]

次を使用する と、 bd_port オブジェク トに接続されるインターフェイス ネッ ト を取得できます。

get_bd_nets -of_objects [get_bd_ports aux_reset_in]

X-Ref Target - Figure 2-9

図 2-9: ブロック デザイン ポート

bd_cell

bd_intf_port

bd_intf_net

bd_port

bd_pin bd_net

diagram

bd_addr_

Page 35: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 35UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

プロパティ ブロ ッ ク デザイン ポート オブジェク トの特定のプロパティは、 そのポートのタイプによって変わるこ とがあ り ま

す。 次の表には、 Vivado Design Suite で RESET タイプの bd_port オブジェク トに割り当てられたプロパティの一部を

その値の例と共にリ ス ト しています。

Property Type Read-only Visible ValueCLASS string true true bd_portCONFIG.POLARITY string false true ACTIVE_LOWDIR string true true IINTF string true true FALSELEFT string false true LOCATION string false true 130 560NAME string false true aux_reset_inPATH string true true /aux_reset_inRIGHT string false true TYPE string true true rst

bd_port オブジェク トのプロパティをレポートするには、次のコマンドをコピーして Vivado Design Suite の Tcl シェル

または Tcl コンソールに貼り付けます。

report_property -all [lindex [get_bd_ports] 0]

Page 36: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 36UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

BEL

説明

BEL または基本エレ メン トは、 デザインのネッ ト リ ス ト ビューの最下位セルに該当するもので、 ターゲッ ト ザイ リ

ンクス FPGA のデバイス オブジェク トで、 フ リ ップフロ ップ、 LUT、 キャ リー ロジッ クなどの基本ネッ ト リ ス ト オブジェク ト をデバイスに配置またはマップするためのものです。

BEL はデバイス上でスライスや I/O ブロ ッ ク (IOB) などの 「SITE」 オブジェク トにま とめられています。 1 つのサイ

トには BEL が 1 つまたは複数存在します。 この BEL を使用して、 デザイン ネッ ト リ ス ト をターゲッ ト デバイスの

特定のロケーシ ョ ンやデバイス リ ソースにロジッ クを割り当てます。

ザイ リ ンクス FPGA ごとにさまざまな異なる BEL タイプがあ り ます。次は、Kintex®-7 パーツ、XC7K70TFBG676. の BEL のタイプです。 BEL には、 次のよ うにさまざまなタイプがあ り ます。

AFF AFF2 BFF BFF2 BITSLICE_CONTROL_BEL BSCAN1 BSCAN2 BSCAN3 BSCAN4 BSCAN_BSCAN BUFCE_BUFCE BUFCE_BUFCE_LEAF BUFCE_BUFCE_ROW BUFFER BUFGCE_DIV_BUFGCE_DIV BUFGCTRL_BUFGCTRL BUFG_GT_BUFG_GT BUFG_GT_BUFG_GT_SYNC BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 CARRY8 CFF CFF2 CFG_IO_ACCESS DCIRESET DCIRESET_DCIRESET DFF DFF2 DNA_PORT DNA_PORT_DNA_PORT DSP48E1_DSP48E1 DSP_ALU DSP_A_B_DATA DSP_C_DATA DSP_MULTIPLIER DSP_M_DATA DSP_OUTPUT DSP_PREADD DSP_PREADD_DATA EFF EFF2 EFUSE_USR EFUSE_USR_EFUSE_USR F7MUX F8MUX F9MUX FFF FFF2 FF_INIT FIFO18E1_FIFO18E1 FRAME_ECC FRAME_ECC_FRAME_ECC GCLK_DELAY GFF GFF2 GTHE3_CHANNEL_GTHE3_CHANNEL GTHE3_CHANNEL_IPAD1 GTHE3_CHANNEL_IPAD2 GTHE3_CHANNEL_OPAD1 GTHE3_CHANNEL_OPAD2 GTHE3_COMMON_GTHE3_COMMON GTHE3_COMMON_PADN GTHE3_COMMON_PADP GTXE2_CHANNEL_GTXE2_CHANNEL GTXE2_COMMON_GTXE2_COMMON HARD0 HARD1 HARD_SYNC_SYNC_UNIT HFF HFF2 HPIOBDIFFINBUF_DIFFINBUF HPIOBDIFFOUTBUF_DIFFOUTBUF HPIOB_IBUFCTRL HPIOB_INBUF HPIOB_OUTBUF HPIOB_PAD HPIOB_PULL

Page 37: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 37UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

HPIO_OUTINV HPIO_VREF HRIODIFFINBUF_DIFFINBUF HRIODIFFOUTBUF_DIFFOUTBUF HRIO_IBUFCTRL HRIO_INBUF HRIO_OUTBUF HRIO_OUTINV HRIO_PAD HRIO_PULL IBUFDS0_GTE3 IBUFDS1_GTE3 IBUFDS_GTE2_IBUFDS_GTE2 ICAP_BOT ICAP_ICAP ICAP_TOP IDELAYCTRL_IDELAYCTRL IDELAYE2_FINEDELAY_IDELAYE2_FINEDELAY IDELAYE2_IDELAYE2 ILOGICE2_IFF ILOGICE3_IFF ILOGICE3_ZHOLD_DELAY INVERTER IN_FIFO_IN_FIFO IOB18M_INBUF_DCIEN IOB18M_OUTBUF_DCIEN IOB18M_TERM_OVERRIDE IOB18S_INBUF_DCIEN IOB18S_OUTBUF_DCIEN IOB18S_TERM_OVERRIDE IOB18_INBUF_DCIEN IOB18_OUTBUF_DCIEN IOB18_TERM_OVERRIDE IOB33M_INBUF_EN IOB33M_OUTBUF IOB33M_TERM_OVERRIDE IOB33S_INBUF_EN IOB33S_OUTBUF IOB33S_TERM_OVERRIDE IOB33_INBUF_EN IOB33_OUTBUF IOB33_TERM_OVERRIDE LUT5 LUT6 LUT_OR_MEM5 LUT_OR_MEM6 MASTER_JTAG MMCME2_ADV_MMCME2_ADV MMCME3_ADV_MMCM_TOP OBUFDS0_GTE3 OBUFDS1_GTE3 ODELAYE2_ODELAYE2 OLOGICE2_MISR OLOGICE2_OUTFF OLOGICE2_TFF OLOGICE3_MISR OLOGICE3_OUTFF OLOGICE3_TFF OUT_FIFO_OUT_FIFO PAD PCIE_2_1_PCIE_2_1 PCIE_3_1_PCIE_3_1 PHASER_IN_PHY_PHASER_IN_PHY PHASER_OUT_PHY_PHASER_OUT_PHY PHASER_REF_PHASER_REF PHY_CONTROL_PHY_CONTROL PLLE2_ADV_PLLE2_ADV PLLE3_ADV_PLL_TOP PLL_SELECT_BEL PMV2_PMV2 PULL_OR_KEEP1 RAMB18E1_RAMB18E1 RAMB18E2_U_RAMB18E2 RAMBFIFO18E2_RAMBFIFO18E2 RAMBFIFO36E1_RAMBFIFO36E1 RAMBFIFO36E2_RAMBFIFO36E2 REG_INIT RIU_OR_BEL RXTX_BITSLICE SELMUX2_1 SLICEL_A5LUT SLICEL_A6LUT SLICEL_B5LUT SLICEL_B6LUT SLICEL_C5LUT SLICEL_C6LUT SLICEL_CARRY4_AMUX SLICEL_CARRY4_AXOR SLICEL_CARRY4_BMUX SLICEL_CARRY4_BXOR SLICEL_CARRY4_CMUX SLICEL_CARRY4_CXOR SLICEL_CARRY4_DMUX SLICEL_CARRY4_DXOR SLICEL_D5LUT SLICEL_D6LUT SLICEL_E5LUT SLICEL_E6LUT SLICEL_F5LUT SLICEL_F6LUT SLICEL_G5LUT SLICEL_G6LUT SLICEL_H5LUT SLICEL_H6LUT SLICEM_A5LUT SLICEM_A6LUT SLICEM_B5LUT SLICEM_B6LUT SLICEM_C5LUT SLICEM_C6LUT SLICEM_CARRY4_AMUX SLICEM_CARRY4_AXOR SLICEM_CARRY4_BMUX SLICEM_CARRY4_BXOR SLICEM_CARRY4_CMUX SLICEM_CARRY4_CXOR SLICEM_CARRY4_DMUX SLICEM_CARRY4_DXOR

Page 38: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 38UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

SLICEM_D5LUT SLICEM_D6LUT SLICEM_E5LUT SLICEM_E6LUT SLICEM_F5LUT SLICEM_F6LUT SLICEM_G5LUT SLICEM_G6LUT SLICEM_H5LUT SLICEM_H6LUT STARTUP STARTUP_STARTUP SYSMONE1_SYSMONE1 SYSMON_IPAD1 SYSMON_IPAD2 TRISTATE_TX_BITSLICE USR_ACCESS USR_ACCESS_USR_ACCESS XADC_XADC XIPHY_FEEDTHROUGH_BEL

関連オブジェク ト X-Ref Target - Figure 2-10

図 2-10: BEL オブジェク ト

Net

Pin

Port

Clock

Cell

Bel

Site

Clock Region

Package Pin

Bel Pin

Site Pin

Site Pip

I/O Standard

I/O Bank

Wire

Node

Pip

Tile

Timing Path

SLR

X14853-081315

Page 39: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 39UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

38 ページの図 2-10 に示すよ うに、 ネッ ト リ ス ト デザインの最下位セルはターゲッ ト パーツの BEL にマップできま

す。 BEL はターゲッ ト ザイ リ ンクス デバイスのサイ トにま とめられ、 BEL とサイ トの両方がタイルと ク ロ ッ ク領域

にまとめられます。 各 BEL にはセルのピンにマップする BEL ピンがあ り、 また BEL がネッ ト リ ス ト オブジェク ト

であるネッ トへの接続点になり ます。

次を使用する と、 SLR、 サイ ト 、 セル、 ク ロ ッ ク領域、 ネッ トの BEL を取得できます。

get_bels -of [get_clock_regions X1Y3]

セル、 サイ ト、 タイル、 BEL オブジェク トの BEL ピンも次のよ うに取得できます。

get_cells -of [get_bels SLICE_X104Y100/B6LUT]

プロパティ

BEL オブジェク トに割り当てられるプロパティはタイプによって異なり ます。 次は、 BEL の BUFIO タイプに割り当

てられたプロパティ とその値の例を示しています。

Property Type Read-only Visible ValueCLASS string true true belCONFIG.DELAY_BYPASS.VALUES string true true FALSE, TRUEIS_RESERVED bool true true 0IS_TEST bool true true 0IS_USED bool true true 0NAME string true true BUFIO_X0Y25/BUFIONUM_BIDIR int true true 0NUM_CONFIGS int true true 1NUM_INPUTS int true true 1NUM_OUTPUTS int true true 1NUM_PINS int true true 2PROHIBIT bool false true 0TYPE string true true BUFIO_BUFIO

BEL オブジェク トに割り当てられるプロパティは TYPE によって異なり ます。 上記にリ ス ト されている BEL の各タ

イプのプロパティを確認するには、 report_property コマンドを使用します。

report_property -all [lindex [get_bels -filter {TYPE == <BEL_TYPE>}] 0]

<BEL_TYPE> にはリ ス ト されている BEL タイプの 1 つが入り ます。 次に例を示します。

report_property -all [lindex [get_bels -filter {TYPE == SLICEM_CARRY4_AXOR}] 0]report_property -all [lindex [get_bels -filter {TYPE == LUT5}] 0]report_property -all [lindex [get_bels -filter {TYPE == IOB33S_OUTBUF}] 0]

ヒン ト : report_property コマンドは、 現在のデザインで関連オブジェク トが見つからなかった場合、 オブジェク

トが見つからないという内容の警告メ ッセージを返します。 このコマンドの詳細は、 『Vivado Design Suite Tcl コマン

ド リ ファレンス ガイ ド』 (UG835) [参照 13] を参照してください。

Page 40: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 40UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

BEL_PIN

説明

BEL_PIN は、 BEL オブジェク トのピンまたは接続ポイン トです。

ロジッ ク CELL の PIN (NET の接続ポイン ト ) のよ うなネッ ト リ ス ト オブジェク トに関連するデバイス オブジェク ト

です。

X-Ref Target - Figure 2-11

図 2-11: BEL_PIN オブジェク ト

Net

Pin

Port

Clock

Cell

Bel

Site

ck on

Bel Pin

Site Pin

Site Pip

Wire

Node

Pip

Tile

SLR

X14854-081315

Page 41: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 41UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

関連オブジェク ト 図 2-11 に示すよ うに、 BEL_PIN オブジェク トは、 BEL および SITE デバイス リ ソース と、 PIN および NET ネッ ト リ

ス ト オブジェク トに関連しています。 次の Tcl コマンド形式を使用する と、 BEL、 SITE、 PIN、 または NET の BEL_PIN を取得できます。

get_bel_pins -of_objects [get_pins usbEngine0/usbEngineSRAM/Ram_reg_9/CLKARDCLK]

また、 SLR および BEL_PIN が存在する TILE や BEL_PIN に関連する NODE も取得できます。

get_slr -of_objects [get_bel_pins SLICE_X8Y176/D5LUT/WA5]

プロパティ

次は BEL_PIN オブジェク トに割り当てられたプロパティ とその値の例です。

Property Type Read-only Visible ValueCLASS string true true bel_pinDIRECTION enum true true ININDEX int true true 1INDEX_IN_BEL int true true 1INDEX_IN_BUS int true true 1023INDEX_IN_ELEMENT int true true 1INDEX_IN_TILE int true true 65535IS_BAD bool true true 0IS_BIDIR bool true true 0IS_CLOCK bool true true 0IS_DATA bool true true 0IS_ENABLE bool true true 1IS_INPUT bool true true 1IS_OPTIONALLY_INVERTIBLE bool true false 0IS_OUTPUT bool true true 0IS_PART_OF_BUS bool true true 0IS_RESET bool true true 0IS_SET bool true true 0IS_TEST bool true true 0IS_USED bool true true 0NAME string true true IOB_X0Y197/OUTBUF/TRISITE_ID int true true 188SPEED_INDEX int true true 0

Vivado Design Suite Tcl シェルまたは Tcl コンソールで次の FOREACH ループを使用する と、 特定 BEL オブジェク ト

の BEL_PIN すべてのプロパティをレポートできます。

foreach x [get_bel_pins -of [get_bels <bel_name>]] {puts "****************** $x *****************"report_property -all $x

}

<bel_name> は、 レポートする BEL オブジェク トの名前です。

Page 42: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 42UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

CELL

説明

CELL は、 ネッ ト リ ス ト ロジッ ク オブジェク トのインスタンスで、 最下位セルまたは階層セルのいずれかです。 最

下位セルはプリ ミティブまたはプリ ミ ティブ マクロで、 ネッ ト リ ス トにこれ以上のロジッ クの詳細はあ り ません。

階層セルはモジュールまたはブロ ッ クで、 1 つ以上のレベルのロジッ クを含み、 最下位セルで終了します。

関連オブジェク ト

図 2-12 に示すよ うに、 セルには外部ネッ ト リ ス ト を定義するためネッ トに接続されているピンがあ り ます。 階層セ

ルにはピンに関連付けられているポート も含まれ、 階層の内部ネッ ト リ ス ト を定義するためネッ トに内部接続され

ています。

最下位セルは、 ターゲッ ト ザイ リ ンクス FPGA のデバイス リ ソースに配置またはマップされます。 フ リ ップフロ ッ

プ、 LUT、 MUX などの基本ロジッ クの場合、 セルは BEL オブジェク トに配置され、 BRAM や DSP などの大型ロ

ジッ ク セルの場合、 セルはサイ ト オブジェク トに配置されます。 BEL はさらに大きなサイ トであるスライスにもま

とめられるので、 セルは BEL およびサイ ト オブジェク トに関連付けるこ とができるのです。 サイ トはクロ ッ ク領域

およびタイルにまとめられます。

また、 セルはデザインのタイ ミ ング パスに関連付けられるので、 DRC 違反にも関連付けるこ とができ、 デザインに

関連する問題をすばやく見つけて解決するのに役立ちます。

X-Ref Target - Figure 2-12

図 2-12: CELL オブジェク ト

Net

Pin

Port

Clock

Cell

Bel

Site

Clock Region

Package Pin

Bel Pin

Site Pin

Wire

NodeTiming Path

Page 43: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 43UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

次を使用する と、 ピン、 タイ ミ ング パス、 ネッ ト 、 BEL、 ク ロ ッ ク領域、 サイ ト、 または DRC 違反に関連付けられ

たセルを取得できます。

get_cells -of [get_nets clk]

プロパティ 最下位セル オブジェク トに複数のタイプがあ り、 次の表に示すよ うに PRIMITIVE_GROUP、PRIMITIVE_SUBGROUP、 PRIMITIVE_TYPE プロパティで定義されています。

表 2-1: セル プリ ミテ ィブ

PRIMITIVE_GROUP PRIMITIVE_SUBGROUP PRIMITIVE_TYPE

BLOCKRAM BRAMBLOCKRAM.BRAM.RAMB18E2

BLOCKRAM.BRAM.RAMB36E2

CLB CARRY CLB.CARRY.CARRY8

LUT

CLB.LUT.LUT1

CLB.LUT.LUT2

CLB.LUT.LUT3

CLB.LUT.LUT4

CLB.LUT.LUT5

CLB.LUT.LUT6

LUTRAM

CLB.LUTRAM.RAM32M

CLB.LUTRAM.RAM32M16

CLB.LUTRAM.RAM32X1D

MUXFCLB.MUXF.MUXF7

CLB.MUXF.MUXF8

SRL

CLB.SRL.SRL16E

CLB.SRL.SRLC16E

CLB.SRL.SRLC32E

Others CLB.others.LUT6_2

CLOCK BUFFERCLOCK.BUFFER.BUFGCE

CLOCK.BUFFER.BUFGCE_DIV

PLLCLOCK.PLL.MMCME3_ADV

CLOCK.PLL.PLLE3_ADV

CONFIGURATION BSCAN CONFIGURATION.BSCAN.BSCANE2

I/O BDIR_BUFFER I/O.BIDIR_BUFFER.IOBUFDS

BITSLICE

I/O.BITSLICE.BITSLICE_CONTROL

I/O.BITSLICE.RIU_OR

I/O.BITSLICE.RXTX_BITSLICE

I/O.BITSLICE.TX_BITSLICE_TRI

Page 44: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 44UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

すべてのセルに共通のプロパティ セッ トがあ り、 各セルのグループ、 サブグループ、 およびタイプにさらに特有の

プロパティがある場合があ り ます。 PRIMITIVE_GROUP、 PRIMITIVE_SUBGROUP または PRIMITIVE_TYPE プロパ

ティの値でフ ィルターする と、 特定のタイプのセル オブジェク トのプロパティを取得できます。

INPUT_BUFFER

I/O.INPUT_BUFFER.HPIO_VREF

I/O.INPUT_BUFFER.IBUF

I/O.INPUT_BUFFER.IBUFDS

OUTPUT_BUFFER

I/O.OUTPUT_BUFFER.IOBUFE3

I/O.OUTPUT_BUFFER.OBUF

I/O.OUTPUT_BUFFER.OBUFDS

OTHERS others

others.others.others

OTHERS.others.AND2B1L

OTHERS.others.GND

OTHERS.others.VCC

REGISTER SDR

REGISTER.SDR.FDCE

REGISTER.SDR.FDPE

REGISTER.SDR.FDRE

REGISTER.SDR.FDSE

RTL_GATE buf RTL_GATE.buf.RTL_INV

logical

RTL_GATE.logical.RTL_AND

RTL_GATE.logical.RTL_OR

RTL_GATE.logical.RTL_XOR

RTL_MEMORY ram RTL_MEMORY.ram.RTL_RAM

rom RTL_MEMORY.rom.RTL_ROM

RTL_MUX mux RTL_MUX.mux.RTL_MUX

RTL_OPERATOR arithmetic

RTL_OPERATOR.arithmetic.RTL_ADD

RTL_OPERATOR.arithmetic.RTL_MULT

RTL_OPERATOR.arithmetic.RTL_SUB

equality RTL_OPERATOR.equality.RTL_EQ

shift RTL_OPERATOR.shift.RTL_RSHIFT

REGISTER flop RTL_REGISTER.flop.RTL_REG

PRIMITIVE_GROUP PRIMITIVE_SUBGROUP PRIMITIVE_TYPE

Page 45: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 45UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

PRIMITIVE_TYPE は列挙プロパティで、定義された値は list_property_value コマンドで返すこ とができます。

list_property_value -class cell PRIMITIVE_TYPE

ただし、 デザインに定義されているすべての PRIMITIVE_TYPE のセルが含まれている とは限り ません。 次の Tcl コードは、 デザインのすべての階層で検索を実行し、 デザインに含まれるすべてのセルの PRIMITIVE_TYPE プロパ

ティ (プロパティが重複する場合は 1 回のみ) を返します。

foreach x [get_cells -hierarchical *] { lappend primTypes [get_property PRIMITIVE_TYPE $x] }join [lsort -unique $primTypes] \n

次のコマンドを使用する と、 返された リ ス ト ($primTypes) から特定の PRIMITIVE_TYPE のプロパティをレポート

できます。

report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == <val>}] 0]

<val> には、 プロパティをレポートする PRIMITIVE_TYPE を指定します。 たとえば、 BLOCKRAM.BRAM.RAM18E2 タイプのセルのプロパティを返すには、 次を使用します。

report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == "BLOCKRAM.BRAM.RAMB18E2"}] 0]

ヒン ト : report_property コマンドは、 現在のデザインで関連オブジェク トが見つからなかった場合、 オブジェク

トが見つからないという内容の警告メ ッセージを返します。 このコマンドの詳細は、 『Vivado Design Suite Tcl コマン

ド リ ファレンス ガイ ド』 (UG835) [参照 13] を参照してください。

次の Tcl コマンドを使用して、 階層セルからプロパティを返すこ と もできます。

report_property -all [lindex [get_cells -hier -filter {!IS_PRIMITIVE}] 0]

特定のセルのプロパティを返すこ と もできます。

report_property -all [get_cells <cell_name>]

Page 46: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 46UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

CLOCK

説明 CLOCK オブジェク ト を使用する と、 Vivado Design Suite にタイム リ ファレンスを提供して、 レジスタ間のデータが

安定して転送されるよ うにできます。 Vivado タイム エンジンでは、 CLOCK オブジェク トのプロパティを使用して、

デザインのセッ ト アップ要件とホールド要件を算出し、 スラ ッ クを算出してデザインのタイ ミ ング マージンをレ

ポート します。 タイ ミ ング パスをできるだけ正確に、 最大限に網羅するには、 CLOCK オブジェク ト を正し く定義

する必要があ り ます。

ク ロ ッ クは、 PERIOD と WAVEFORM プロパティを使用して定義します。 PERIOD (周期) はナノ秒で指定し、 ク ロ ッ

ク サイクルの長さを定義します。 これは、 波形が繰り返す間隔を表します。 波形は、 ク ロ ッ ク周期内の立ち上がり

エッジおよび立ち下がりエッジの絶対時間 (ns) のリ ス トです。 ク ロ ッ クの定義の詳細は、 『Vivado Design Suite ユー

ザー ガイ ド : 制約の使用』 (UG903) [参照 19] を参照してください。

周期および波形は、 クロ ッ クの理想的な特性を表します。 ク ロ ッ クが FPGA に入力され、 ク ロ ッ ク ツ リーを介して

伝搬される と、 ク ロ ッ ク エッジに遅延が発生し、 ノ イズおよびハードウェアの動作によ り変動する可能性があ り ま

す。 これらはクロ ッ ク ネッ ト ワーク レイテンシおよびクロ ッ クのばらつき と呼ばれます。 Vivado Design Suite では、

ク ロ ッ クはデフォルトでレイテンシおよびばらつきを含む伝搬されたクロ ッ ク と して処理され、 ク ロ ッ ク ツ リー挿

入遅延およびばらつきを含む正確なスラ ッ ク値が算出されます。

Vivado ツールでは、 さまざまなタイプのクロ ッ クがサポート されます。

• プライマ リ ク ロ ッ ク - プライマ リ入力ポート またはギガビッ ト ト ランシーバー ピンを介して Vivado デザイン

に入力するシステムレベルのクロ ッ クで、 create_clock コマンドで定義します。 プライマ リ ク ロ ッ クのデザ

イン ソースでは、 遅延値を算出する際に Vivado タイ ミ ング エンジンで使用されるタイム ゼロおよび伝搬ポイ

ン ト を定義します。

• 仮想クロ ッ ク - デザインのどのネッ ト リ ス ト エレ メン トにも物理的に接続されていない CLOCK オブジェク ト

で、 ク ロ ッ クを割り当てるソース オブジェク ト を指定せずに create_clock コマンドで定義します。

• 生成クロ ッ ク - MMCM などのクロ ッ ク調整ブロ ッ ク と呼ばれる特別なセルまたはユーザー ロジッ クによ り駆動

されます。 生成クロ ッ クは、 create_generated_clock によ りマスター ク ロ ッ クを派生したもので、

IS_GENERATED プロパティが含まれます。 生成クロ ッ クの周期および波形を指定する代わりに、 マスター クロ ッ クが調整回路でどのよ うに変換されるかを記述する必要があ り ます。

ク ロ ッ クは、 専用デバイス リ ソースを使用してデザインに伝搬されます。 ク ロ ッ ク リ ソースの詳細は、 『7 シ リーズ FPGA ク ロ ッキング リ ソース ユーザー ガイ ド』 (UG472) [参照 3] および 『UltraScale アーキテクチャ ク ロ ッキング リソース ユーザー ガイ ド』 (UG572) [参照 9] を参照してください。

Page 47: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 47UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

関連オブジェク ト

CLOCK オブジェク トは、 そのソースである PORT、 NET、 CELL、 または PIN に関連しており、 create_clock コマンドで定義されます。 ネッ ト リ ス ト オブジェク トに関連するクロ ッ クは、 get_clock または get_generated_clocks コマンドを使用する と取得できます。

get_clocks -of_objects [get_ports <port_name>]

ク ロ ッ クに関連するネッ ト リ ス ト オブジェク ト (NET、 PIN、 PORT) を取得するこ と もできます。

get_nets -of_objects [get_clocks]

プロパティ

次はクロ ッ ク オブジェク トに割り当てられたプロパティ とその値の例です。

Property Type Read-only Visible ValueCLASS string true true clockDIVIDE_BY int true true DUTY_CYCLE double true true EDGES int* true true EDGE_SHIFT double* true true FILE_NAME string true true INPUT_JITTER double true true 0.000IS_GENERATED bool true true 1IS_INVERTED bool true true 0IS_PROPAGATED bool true true 1IS_RENAMED bool true true 0IS_USER_GENERATED bool true true 0IS_VIRTUAL bool true true 0LINE_NUMBER int true true MASTER_CLOCK clock true true sysClkMULTIPLY_BY int true true 1NAME string true true usbClkPERIOD double true true 10.000SOURCE pin true true clkgen/mmcm_adv_inst/CLKIN1SOURCE_PINS string* true true clkgen/mmcm_adv_inst/CLKOUT2SYSTEM_JITTER double true true 0.050WAVEFORM double* true true 0.000 5.000

X-Ref Target - Figure 2-13

図 2-13: CLOCK オブジェク ト

Net

Pin

Port

Clock

Cell NodeTiming Path

Page 48: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 48UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

report_property コマンドを使用する と、 CLOCK オブジェク トに割り当てられたプロパティをレポートできま

す。 詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 13] を参照してください。 特定ク

ロ ッ クのプロパティをレポートするには、Vivado Design Suite の Tcl シェルまたは Tcl コンソールで次のコマンドを使

用します。

report_property -all [get_clocks <clock_name>]

<clock_name> は、 レポートするクロ ッ クの名前です。

Page 49: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 49UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

CLOCK_REGION

説明 デバイスは、 ク ロ ッ ク供給目的にクロ ッ ク領域に分割されます。 CLOCK_REGION は、 ザイ リ ンクス FPGA または

クロ ッ ク リ ソースのセッ トが使用されるデバイスのエリ アを識別するデバイス オブジェク トです。 ク ロ ッ ク領域に

は、 コンフ ィギャラブル ロジッ ク ブロ ッ ク (CLB)、 DSP スライス、 ブロ ッ ク RAM、 インターコネク ト 、 関連するク

ロ ッ クが含まれます。

ク ロ ッ ク領域の数は、 デバイスのサイズによって異なり ます。 UltraScale デバイスは、 分割されたクロ ッ ク領域の列

と行に分割されます。 これらのクロ ッ ク領域は、 タイルに並べられ、 デバイスの幅半分には広がらないので、 前の

ファ ミ リ とは異なり ます。

UltraScale デバイスの場合、 クロ ッ ク領域には 60 個の CLB、 24 個の DSP スライス、 12 個のブロッ ク RAM が含まれ、

中央に水平クロ ッ ク スパイン (HCS) があ り ます。 ク ロ ッ ク領域に一致する高さに、 バンクごとに 52 個の I/O と 4 個のギガビッ ト ト ランシーバー (GT) があ り ます。

7 シ リーズ デバイスの場合、 クロ ッ ク領域には 50 個の CLB、 50 個の I/O を含む I/O バンク 1 つ、 中央に水平クロ ッ

ク行 (HROW) があ り ます。

ク ロ ッ ク領域の I/O バンクには、 ク ロ ッ ク領域内のクロ ッ ク配線リ ソースにユーザー ク ロ ッ クを使用する CC (クロ ッ ク兼用) ピンが含まれます。

X-Ref Target - Figure 2-14

図 2-14: CLOCK_REGION オブジェク ト

Clock

Cell

Bel

Site

Clock Region

Package Pin

Bel Pin

Site Pin

Site Pip

I/O Standard

I/O Bank

Wire

Node

Pip

Tile

Timing Path

SLR

57-081315

Page 50: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 50UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

ク ロ ッ ク領域および領域に含まれる リ ソースの詳細は、 『7 シ リーズ FPGA ク ロ ッキング リ ソース ユーザー ガイ ド』 (UG472) [参照 3] または『UltraScale アーキテクチャ ク ロ ッキング リ ソース ユーザー ガイ ド』 (UG572) [参照 9] を参照

してください。

関連オブジェク ト CLOCK_REGION オブジェク トは、 ク ロ ッ ク領域が含まれている SLR (Super Logic Region)、 またはクロ ッ ク領域に含

まれている TILE、 SITE、 または PACKAGE_BANK デバイス オブジェク トに関連付けられています。 また、 CELL ネッ ト リ ス ト オブジェク トが配置されている CLOCK_REGION を取得するこ と もできます。

関連するオブジェク トの CLOCK_REGION は、 次のよ うな Tcl コマンドを使用して取得できます。 このコマンドは、

指定したセルが配置されているクロ ッ ク領域を返します。

get_clock_regions -of [get_cells usbEngine0/u1/u0/crc16_sum_reg[7]]

また、 CLOCK_REGION に関連付けられているか含まれている SLR、 TILE、 SITE、 BEL、 および IO_BANK デバイ

ス オブジェク ト を取得するこ と もできます。 たとえば、 次の Tcl コマンドを使用する と、 指定したセルが配置され

ているクロ ッ ク領域に含まれる I/O バンクが返されます。

get_iobanks -of_objects [get_clock_regions -of \[get_cells usbEngine0/u1/u0/crc16_sum_reg[7]]]

プロパティ

report_property コマンドを使用する と、 CLOCK_REGION のプロパティをレポートできます。 詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 13] を参照してください。

次は clock_region オブジェク トのプロパティ とその値の例です。

Property Type Read-only Visible ValueBOTTOM_RIGHT_TILE string true true NULL_X116Y105CLASS string true true clock_regionCOLUMN_INDEX int true true 1FULL_NAME string true true CLOCKREGION_X1Y2NAME string true true X1Y2NUM_SITES int true true 1418ROW_INDEX int true true 2TOP_LEFT_TILE string true true CLBLL_L_X26Y149

特定の CLOCK_REGION のプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [get_clock_regions <name>]

<name> は、 レポートするクロ ッ ク領域の名前です。

Page 51: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 51UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

DIAGRAM

説明

ブロ ッ ク デザイン (.bd) は、Vivado Design Suite の IP インテグレーターで IP コアを接続して作成された複雑なシステ

ムです。 Vivado IP インテグレーターを使用する と、 Vivado IP カタログの IP をインスタンシエート して接続するこ と

によ り、 複雑なデザインを作成できます。 ブロ ッ ク デザインは、 ディ スク上のファイル (.bd) に書き込むこ とのでき

る階層デザインですが、 Vivado ツール メモ リ内では diagram オブジェク ト と して格納されます。

ブロ ッ ク デザインは、 生産性を向上するため通常インターフェイス レベルで構築されますが、 ポート またはピン レベルで編集するこ とによ り よ り詳細に制御できます。 Vivado Design Suite プロジェク トには、 デザイン階層のさまざ

まなレベルに複数のダイアグラムを含めるこ とができ、 また最上位デザインと して 1 つのダイアグラムを指定する

こ と もできます。

関連オブジェク ト

12 ページの図 1-2 に示すよ うに、 diagram オブジェク トには bd_cells、 bd_nets、 および bd_ports など、 その他の IP インテグレーター ブロ ッ ク デザイン (bd) オブジェク トが含まれます。 これらのオブジェク ト間の関係は、 セル、 ピ

ン、 ネッ ト などの標準的なネッ ト リ ス ト オブジェク ト間の関係と類似しています。 指定した diagram オブジェク ト

から、 セル、 アドレス空間、 アドレス セグメン ト、 ネッ ト 、 ピン、 ポート、 インターフェイス ネッ ト、 インター

フェイス ピン、 インターフェイス ポート などのブロ ッ ク デザインの各オブジェク ト を取得できます。

たとえば、 ブロ ッ ク デザインのネッ ト を取得するには、 次の Tcl コマンドを使用します。

get_bd_nets -of_objects [current_bd_design]

プロパティ

次に、 Vivado Design Suite で diagram オブジェク トに割り当てられているプロパティ とその値の例を示します。

Property Type Read-only Visible ValueCLASS string true true diagramCOLOR string false true FILE_NAME string true true design_1.bdNAME string true true design_1USE_IP_SHARED_DIR bool false true 1

diagram オブジェク トのプロパティは、 次のコマンドを使用する とレポートできます。

report_property -all [lindex [get_bd_designs] 0]

Page 52: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 52UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

HW_AXI

説明 JTAG-to-AXI Master コア (ハードウェア AXI オブジェク ト ) は、AXI ト ランザクシ ョ ンを駆動し、ザイ リ ンクス FPGA (ハードウェア デバイス オブジェク ト ) 上の AXI 信号を駆動する AXI マスターと して機能するカスタマイズ可能な IP コアです。 AXI Master コアは、 AXI4 インターフェイスおよび AXI4-Lite プロ ト コルをサポート します。 AXI データ バスの幅は設定可能です。 AXI コアでは、 AXI4 インターコネク ト を介してメモ リにマップされた AXI4-Lite または AXI4 スレーブを駆動できます。 このコアは、 マスターと してインターコネク トに接続するこ と も可能です。

JTAG to AXI Master コアは、 ザイ リ ンクス IP カタログから RTL コードにインスタンシエートする必要があ り ます。

VIO コアの詳細は、 『LogiCORE IP JTAG to AXI Master 製品ガイ ド』 (PG174) [参照 29] を参照してください。

関連オブジェク ト

AXI マスター コアは、 ザイ リ ンクス IP カタログから RTL ソース ファ イルのデザインに追加できます。 AXI コアは、

get_debug_cores コマンドを使用して合成済みネッ ト リ ス ト デザインで検索できます。 これらは Vivado Design Suite のハードウェア マネージャーで検索される AXI マスター コア オブジェク ト (hw_axi) ではあ り ませんが、 関連

はしています。

hw_axi コアは、 プログラム済みのハードウェア デバイス オブジェク ト (hw_device) のハードウェア マネージャーに

含まれます。 hw_device の hw_axi は次のよ うに取得できます。

X-Ref Target - Figure 2-15

図 2-15: ハードウェア AXI オブジェク ト

hw_vio

hw_target hw_device

hw_sysmon hw_sio_iberthw_axi

robe

hw_bitstream

hw_cfgmem

hw_axi_txn

hw_sio_gt

hw_sio_tx hw_sio_rx

hw_sio_pll

hw_si

hw_sio_link

Page 53: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 53UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

get_hw_axis -of [get_hw_devices]

また、 hw_axi コアには関連する AXI ト ランザクシ ョ ンも含まれ、 次のよ うに取得できます。

get_hw_axi_txns -of [get_hw_axis]

プロパティ report_property コマンドを使用する と、 hw_axi コアに割り当てられたプロパティをレポートできます。 詳細

は、『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 13] を参照してください。次は hw_axi オブ

ジェク トに割り当てられたプロパティ とその値の例です。

Property Type Read-only Visible ValueCLASS string true true hw_axiHW_CORE string true false core_8NAME string true true hw_axi_1PROTOCOL string true true AXI4_FullSTATUS.AXI_READ_BUSY bool true true 0STATUS.AXI_READ_DONE bool true true 0STATUS.AXI_WRITE_BUSY bool true true 0STATUS.AXI_WRITE_DONE bool true true 0STATUS.BRESP string true true OKAYSTATUS.RRESP string true true OKAY

特定の hw_axi のプロパティをレポートするには、次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_hw_axis] 0]

Page 54: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 54UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

HW_BITSTREAM

説明 ハードウェア ビッ ト ス ト リーム オブジェク ト (hw_bitstream) は、 ビッ ト ス ト リーム ファ イルから作成され、 Vivado Design Suite のハードウェア マネージャー機能によ りハード ウェア デバイス オブジェク ト (hw_device) に関連付けら

れます。

ビッ ト ス ト リーム ファ イルは、 write_bitstream コマンドを使用して配置配線済みデザインから作成されます。

ハード ウェア ビッ ト ス ト リーム オブジェク トは、 create_hw_bitstream コマンドを使用する とビッ ト ス ト リー

ム ファ イルから手動で作成されます。 ハード ウェア デバイスが program_hw_device コマンドでプログラムされ

る場合は、 自動で作成されます。

ハードウェア ビッ ト ス ト リーム オブジェク トは、 デバイスの PROGRAM.HW_BITSTREAM プロパティを使用して

指定のハードウェア デバイスに関連付けられます。 このプロパティは、 create_hw_bitstream コマンドによ り

自動的に設定されます。 PROGRAM.FILE プロパティには、 指定のビッ ト ス ト リーム ファ イルのファイル パスも含

まれます。

関連オブジェク ト

hw_bitstream オブジェク トは PROGRAM.BITSTREAM プロパティを使用して hardware_device に関連付けられます。

次の get_property コマンドを使用して hw_bitstream オブジェク ト をクエ リ し、 プロパティのオブジェク ト を返す

こ とができます。

get_property PROGRAM.HW_BITSTREAM [current_hw_device]

X-Ref Target - Figure 2-16

図 2-16: ハードウェア ビッ トス ト リーム オブジェク ト

hw_server

hw_vio

hw_target hw_device

hw_ila hw_sysmon hw_sio_iberthw_axi

hw_probe

hw_bitstream

hw_cfgmem

hw_sio_gthw sio pll

hw_sio_scan

hw_sio_sweep

hw_sio_link

hw_sio_linkgroup

Page 55: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 55UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

プロパティ report_property コマンドを使用する と、 ハード ウェア ビッ ト ス ト リーム オブジェク トに割り当てられたプロパ

ティをレポートできます。 詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 13] を参照

してください。 hw_bitsream オブジェク トには次のよ うなプロパティが含まれます。

Property Type Read-only Visible ValueCLASS string true true hw_bitstreamDESIGN string true true ks_counter2DEVICE string true true xc7k325tNAME string true true C:/Data/ks_counter2_k7/project_1/project_1.runs/impl_1/ks_counter2.bitPART string true true xc7k325tffg900-3SIZE string true true 11443612USERCODE string true true 0XFFFFFFFF

hw_bitsream オブジェク トのプロパティをレポートするには、 Vivado ロジッ ク解析で get_property コマンドを使

用して hw_device の PROGRAM.HW_BITSTREAM プロパティで定義されたオブジェク ト を返します。 次のコマンド

をコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [get_property PROGRAM.HW_BITSTREAM [current_hw_device]]

Page 56: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 56UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

HW_CFGMEM

説明 ザイ リ ンクス FPGA をコンフ ィギュレーシ ョ ンするには、 ハード ウェア デバイスの内部メモ リにデザイン特定のコ

ンフ ィギュレーシ ョ ン データをビッ ト ス ト リーム ファ イルの形で読み込みます。 hw_cfgmem では、 Vivado Design Suite のハードウェア マネージャー機能を使用してザイ リ ンクス FPGA をコンフ ィギュレーシ ョ ンおよびブートする

ために使用するフラ ッシュ メモ リ デバイスが定義されます。

hw_cfgmem オブジェク ト をプログラムするには、 create_hw_cfgmem コマンドを使用します。 create_hw_cfgmem オブジェク ト を作成し、 ハード ウェア デバイスに関連付けたら、 program_hw_cfgmem コマンドを使用してコン

フ ィギュレーシ ョ ン メモ リ をビッ ト ス ト リームおよびその他のデータでプログラムできます。

関連オブジェク ト

hw_cfgmem オブジェク トは、 デバイス オブジェク トの PROGRAM.HW_CFGMEM プロパティを使用して指定のハー

ドウェア デバイス オブジェク トに関連付けられます。 hw_cfgmem オブジェク ト を操作するには、 get_property コマンドを使用してハード ウェア デバイスからオブジェク ト を取得します。

get_property PROGRAM.HW_CFGMEM [current_hw_device]

プロパティ report_property コマンドを使用する と、 hw_cfgmem オブジェク トに割り当てられたプロパティをレポートでき

ます。 詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 13] を参照してください。

hw_cfgmem オブジェク トには次のよ うなプロパティが含まれます。

Property Type Read-only Visible ValueCFGMEM_NAME string true true 28f00ap30t-bpi-x16_0CFGMEM_PART cfgmem_part false true 28f00ap30t-bpi-x16CLASS string true true hw_cfgmemNAME string false true 28f00ap30t-bpi-x16_0PROGRAM.ADDRESS_RANGE string false true use_filePROGRAM.BIN_OFFSET int false true 0PROGRAM.BLANK_CHECK bool false true 0PROGRAM.BPI_RS_PINS string false true NONEPROGRAM.CFG_PROGRAM bool false true 0PROGRAM.ERASE bool false true 1PROGRAM.FILE string false true C:/Data/Vivado_Debug/kc705_8led.mcsPROGRAM.FILE_1 string false true C:/Data/Vivado_Debug/kc705_8led.mcs

X-Ref Target - Figure 2-17

図 2-17: ハードウェア CFGMEM オブジェク ト

hw_server hw_target hw_device

hw_bitstream

hw_cfgmem

hw sio sweep

Page 57: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 57UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

PROGRAM.FILE_2 string false true PROGRAM.VERIFY bool false true 0PROGRAM.ZYNQ_FSBL string false true

hw_cfgmem オブジェク トのプロパティをレポートするには、 ハード ウェア マネージャー機能が開いている と きに、

次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [get_property PROGRAM.HW_CFGMEM [current_hw_device] ]

Page 58: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 58UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

HW_DEVICE

説明 Vivado Design Suite のハードウェア マネージャー機能内では、各ハードウェア ターゲッ トにプログラムまたはデバッ

グ目的で使用するザイ リ ンクス FPGA デバイスを 1 つまたは複数含めるこ とができます。 hw_device オブジェク ト

は、 hw_server を使用して開いた hw_target の物理的パーツです。 current_hw_device コマンドは、 現在のデバイ

スを指定するか、 返します。

関連オブジェク ト

ハードウェア デバイスはハードウェア ターゲッ トに関連付けられており、次のよ うに hw_target オブジェク トのオブ

ジェク ト と して取得できます。

get_hw_devices -of [get_hw_targets]

次を使用する と、 ハード ウェア デバイス オブジェク トにプログラムされるデバッグ コアを取得できます。

get_hw_ilas -of [current_hw_device]

プロパティ hw_device オブジェク トのプロパティは、 選択したターゲッ ト パーツによって変わり ます。 report_property コマンドを使用する と、 hw_device オブジェク トに割り当てられたプロパティをレポートできます。 詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 13] を参照してください。

X-Ref Target - Figure 2-18

図 2-18: ハードウェア デバイス オブジェク ト

hw_server

hw_vio

hw_target hw_device

hw_ila hw_sysmon hw_sio_iberthw_axi

hw_bitstream

hw_cfgmem

hw_sio_sc

hw_sio_link

Page 59: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 59UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

次は hw_device オブジェク トに割り当てられるプロパティ とその値の例を示しています。

プロパティ データ型

CLASS 文字列

DID 文字列

IDCODE 文字列

INDEX int

IR_LENGTH int

IS_SYSMON_SUPPORTED bool

MASK int

NAME 文字列

PART 文字列

PROBES.FILE 文字列

PROGRAM.FILE 文字列

PROGRAM.HW_BITSTREAM hw_bitstream

PROGRAM.HW_CFGMEM hw_cfgmem

PROGRAM.HW_CFGMEM_BITFILE 文字列

PROGRAM.HW_CFGMEM_TYPE 文字列

PROGRAM.IS_SUPPORTED bool

PROGRAM.OPTIONS 文字列

REGISTER.BOOT_STATUS 文字列

REGISTER.BOOT_STATUS.BIT00_0_STATUS_VALID 文字列

REGISTER.BOOT_STATUS.BIT01_0_FALLBACK 文字列

REGISTER.BOOT_STATUS.BIT02_0_INTERNAL_PROG 文字列

REGISTER.BOOT_STATUS.BIT03_0_WATCHDOG_TIMEOUT_ERROR 文字列

REGISTER.BOOT_STATUS.BIT04_0_ID_ERROR 文字列

REGISTER.BOOT_STATUS.BIT05_0_CRC_ERROR 文字列

REGISTER.BOOT_STATUS.BIT06_0_WRAP_ERROR 文字列

REGISTER.BOOT_STATUS.BIT07_RESERVED 文字列

REGISTER.BOOT_STATUS.BIT08_1_STATUS_VALID 文字列

REGISTER.BOOT_STATUS.BIT09_1_FALLBACK 文字列

REGISTER.BOOT_STATUS.BIT10_1_INTERNAL_PROG 文字列

REGISTER.BOOT_STATUS.BIT11_1_WATCHDOG_TIMEOUT_ERROR 文字列

REGISTER.BOOT_STATUS.BIT12_1_ID_ERROR 文字列

REGISTER.BOOT_STATUS.BIT13_1_CRC_ERROR 文字列

REGISTER.BOOT_STATUS.BIT14_1_WRAP_ERROR 文字列

REGISTER.BOOT_STATUS.BIT15_RESERVED 文字列

REGISTER.CONFIG_STATUS 文字列

REGISTER.CONFIG_STATUS.BIT00_CRC_ERROR 文字列

REGISTER.CONFIG_STATUS.BIT01_DECRYPTOR_ENABLE 文字列

REGISTER.CONFIG_STATUS.BIT02_PLL_LOCK_STATUS 文字列

REGISTER.CONFIG_STATUS.BIT03_DCI_MATCH_STATUS 文字列

REGISTER.CONFIG_STATUS.BIT04_END_OF_STARTUP_(EOS)_STATUS 文字列

REGISTER.CONFIG_STATUS.BIT05_GTS_CFG_B_STATUS 文字列

REGISTER.CONFIG_STATUS.BIT06_GWE_STATUS 文字列

Page 60: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 60UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

hw_device のプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_hw_devices] 0]

REGISTER.CONFIG_STATUS.BIT07_GHIGH_STATUS 文字列

REGISTER.CONFIG_STATUS.BIT08_MODE_PIN_M[0] 文字列

REGISTER.CONFIG_STATUS.BIT09_MODE_PIN_M[1] 文字列

REGISTER.CONFIG_STATUS.BIT10_MODE_PIN_M[2] 文字列

REGISTER.CONFIG_STATUS.BIT11_INIT_B_INTERNAL_SIGNAL_STATUS 文字列

REGISTER.CONFIG_STATUS.BIT12_INIT_B_PIN 文字列

REGISTER.CONFIG_STATUS.BIT13_DONE_INTERNAL_SIGNAL_STATUS 文字列

REGISTER.CONFIG_STATUS.BIT14_DONE_PIN 文字列

REGISTER.CONFIG_STATUS.BIT15_IDCODE_ERROR 文字列

REGISTER.CONFIG_STATUS.BIT16_SECURITY_ERROR 文字列

REGISTER.CONFIG_STATUS.BIT17_SYSTEM_MONITOR_OVER-TEMP_ALARM_STATUS

文字列

REGISTER.CONFIG_STATUS.BIT18_CFG_STARTUP_STATE_MACHINE_PHASE 文字列

REGISTER.CONFIG_STATUS.BIT21_RESERVED 文字列

REGISTER.CONFIG_STATUS.BIT25_CFG_BUS_WIDTH_DETECTION 文字列

REGISTER.CONFIG_STATUS.BIT27_HMAC_ERROR 文字列

REGISTER.CONFIG_STATUS.BIT28_PUDC_B_PIN 文字列

REGISTER.CONFIG_STATUS.BIT29_BAD_PACKET_ERROR 文字列

REGISTER.CONFIG_STATUS.BIT30_CFGBVS_PIN 文字列

REGISTER.CONFIG_STATUS.BIT31_RESERVED 文字列

REGISTER.IR 文字列

REGISTER.IR.BIT0_ALWAYS_ONE 文字列

REGISTER.IR.BIT1_ALWAYS_ZERO 文字列

REGISTER.IR.BIT2_ISC_DONE 文字列

REGISTER.IR.BIT3_ISC_ENABLED 文字列

REGISTER.IR.BIT4_INIT_COMPLETE 文字列

REGISTER.IR.BIT5_DONE 文字列

REGISTER.USERCODE 文字列

SET_UNKNOWN_DEVICE bool

USER_CHAIN_COUNT 文字列

Page 61: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 61UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

HW_ILA

説明 Integrated Logic Analyzer (ILA) デバッグ コアを使用する と、 コアのデバッグ プローブを介してインプリ メン ト された

デザインの信号のインシステム モニタ リ ングを実行できます。 ILA コアは、 特定のハードウェア イベン トが リ アル

タイムで ト リガーされて、 システム速度でプローブのデータがキャプチャされるよ うにコンフ ィギュレーシ ョ ンで

きます。

ILA デバッグ コアをデザインに追加するには、 IP カタログから ILA コアを RTL デザインにインスタンシエートする

か、 create_debug_core コマンドを使用して合成済みネッ ト リ ス トに ILA コアを追加します。 ILA デバッグ コア

のデザインへの追加に関する詳細は、 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908) [参照 23] を参照してください。

デザインからビッ ト ス ト リームを生成し、 program_hw_devices コマンドを使用してデバイスをプログラムする

と、 get_hw_ilas コマンドを使用してハード ウェア マネージャーからデザインに含まれる ILA デバッグ コアにア

クセスできます。 デザインの ILA デバッグ コアに割り当てられているデバッグ プローブは、 get_hw_probes コマ

ンドを使用して取得できます。

関連オブジェク ト X-Ref Target - Figure 2-19

図 2-19: ハードウェア ILA オブジェク ト

hw_server

hw_vio

hw_target hw_device

hw_ila hw_sysmonhw_axi

hw_probe

hw_ila_data hw_axi_txn

hw_s

hw_sio_tx

Page 62: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 62UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

ILA デバッグ コアは、 RTL ソース ファ イルまたは create_debug_core コマンドでデザインに追加できます。 デ

バッグ コアは、 get_debug_cores コマンドを使用して合成済みネッ ト リ ス ト デザインで検索できます。 これらは Vivado Design Suite のハードウェア マネージャーに含まれる ILA デバッグ オブジェク ト (hw_ila) ではあ り ませんが、

関連はしています。

ハードウェア ILA デバッグ コアは、 プログラム済みのハードウェア デバイス オブジェク ト (hw_device) のハード

ウェア マネージャーに含まれます。 hw_device の hw_ila は次のよ うに取得できます。

get_hw_ilas -of [current_hw_device]

ハードウェア ILA デバッグ コアと関連するオブジェク トには、ハード ウェア プローブや hw_ila コアからキャプチャ

されたデータ サンプルのよ うなものがあ り ます。 ILA デバッグ コアに関連するオブジェク トは、 次のよ うに取得で

きます。

get_hw_ila_datas -of_objects [get_hw_ilas hw_ila_2]

プロパティ report_property コマンドを使用する と、 特定の hw_ila に割り当てられた実際のプロパティをレポートできま

す。 詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 13] を参照してください。

次は hw_ila オブジェク トに割り当てられたプロパティ とその値の例です。

Property Type Read-only Visible ValueCLASS string true true hw_ilaCONTROL.CAPTURE_CONDITION enum false true ANDCONTROL.CAPTURE_MODE enum false true ALWAYSCONTROL.DATA_DEPTH int false true 1024CONTROL.IS_ILA_TO_DRIVE_TRIG_OUT_ENABLED bool true true 0CONTROL.IS_TRIG_IN_TO_DRIVE_TRIG_OUT_ENABLED bool true true 0CONTROL.IS_TRIG_IN_TO_ILA_ENABLED bool true true 0CONTROL.TRIGGER_CONDITION string false true ANDCONTROL.TRIGGER_MODE enum false true BASIC_ONLYCONTROL.TRIGGER_POSITION int false true 0CONTROL.TRIG_OUT_MODE enum true true DISABLEDCONTROL.TSM_FILE string false true CONTROL.WINDOW_COUNT int false true 1CORE_REFRESH_RATE_MS int false true 500HW_CORE string true false core_1INSTANCE_NAME string true true u_ila_0NAME string true true hw_ila_1STATIC.IS_ADVANCED_TRIGGER_MODE_SUPPORTED bool true true 1STATIC.IS_BASIC_CAPTURE_MODE_SUPPORTED bool true true 1STATIC.IS_TRIG_IN_SUPPORTED bool true true 0STATIC.IS_TRIG_OUT_SUPPORTED bool true true 0STATIC.MAX_DATA_DEPTH int true true 1024STATIC.TSM_COUNTER_0_WIDTH int true true 15STATIC.TSM_COUNTER_1_WIDTH int true true 15STATIC.TSM_COUNTER_2_WIDTH int true true 15STATIC.TSM_COUNTER_3_WIDTH int true true 15STATUS.CORE_STATUS string true true IDLESTATUS.DATA_DEPTH int true true 2147483647STATUS.IS_TRIGGER_AT_STARTUP bool true true 0STATUS.SAMPLE_COUNT int true true 0STATUS.TRIGGER_POSITION int true true 2147483647STATUS.TSM_FLAG0 bool true true 1STATUS.TSM_FLAG1 bool true true 1

Page 63: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 63UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

STATUS.TSM_FLAG2 bool true true 1STATUS.TSM_FLAG3 bool true true 1STATUS.TSM_STATE int true true 0STATUS.WINDOW_COUNT int true true 2147483647TRIGGER_START_TIME_SECONDS string true true TRIGGER_STOP_TIME_SECONDS string true true

特定の HW_ILA のプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェルまた

は Tcl コンソールに貼り付けます。

report_property -all [lindex [get_hw_ilas] 0]

Page 64: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 64UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

HW_ILA_DATA

説明 ハードウェア ILA データ オブジェク トは、 現在のハードウェア デバイスにプログラムされた ILA デバッグ コアで

キャプチャされたデータ リポジ ト リです。 hw_ila_data オブジェク トは、 upload_hw_ila_data コマンドによる FPGA (hw_device) 上の ILA デバッグ コア (hw_ila) からのデータをキャプチャするプロセスで作成されます。

また、 read_hw_ila_data コマンドでディ スクから ILA データ ファ イルを読み込んだと きにも作成されます。

hw_ila_data オブジェク トは、 display_hw_ila_data コマンドを使用して Vivado ロジッ ク解析機能の波形ウ ィン

ド ウに表示でき、 write_hw_ila_data コマンドを使用してディ スクに保存できます。

関連オブジェク ト 61 ページの図 2-19 に示すよ うに、 ハード ウェア ILA データ オブジェク トはハード ウェア デバイスにプログラムさ

れた ILA デバッグ コアに関連しています。 データ オブジェク トは次のよ うに取得できます。

get_hw_ila_datas -of_objects [get_hw_ilas]

プロパティ report_property コマンドを使用する と、 hw_ila_data オブジェク トに割り当てられたプロパティをレポートでき

ます。 詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 13] を参照してください。 プロ

パティは、 次のとおりです。

Property Type Read-only Visible ValueCLASS string true true hw_ila_dataHW_ILA string true true hw_ila_1NAME string true true hw_ila_data_1TIMESTAMP string true true Sat Mar 08 11:05:49 2014

hw_ila_data オブジェク トのプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_hw_ila_datas] 0]

Page 65: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 65UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

HW_PROBE

説明 ハードウェア プローブ オブジェク ト (hw_probe) は、 デザイン内の信号へのアクセスに使用され、 信号の値を監視お

よび駆動し、 FPGA のハードウェア イベン ト を ト ラ ッ ク します。 ハード ウェア プローブは、 ILA および VIO デバッ

グ コアの両方に追加できます。

デバッグ プローブは、 コアと一緒に RTL デザイン ソースの ILA デバッグ コアに追加するか、 合成済みネッ ト リ ス

ト デザインの ILA コアに追加でき (create_debug_probe コマンドを使用)、 その後 connect_debug_probe コマンドを使用してデザインの信号に接続できます。

RTL デザインで VIO デバッグ コアにプローブを追加できるのは、IP カタログから IP コアをカスタマイズまたは再カ

スタマイズする際に信号がそのコアに接続されている場合のみです。 デザインへの ILA および VIO デバッグ コアと

信号プローブの追加に関する詳細は、 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908) [参照 23] を参照してください。

デバッグ コアとプローブは write_debug_probes コマンドを使用してプローブ ファ イル (.ltx) に記述し、 ハード ウェア デバイス オブジェク トの PROBES.FILE および PROGRAM.FILE プロパティを使用してビッ ト ス ト リーム ファ イルと

共にハードウェア デバイスに関連付けます。 ハード ウェア デバイスにこの情報をプログラムするには、

program_hw_devices コマンドを使用します。

関連オブジェク ト

ハードウェア プローブ オブジェク トは、 hw_server を使用して開いた hw_target のハードウェア デバイスにプログラ

ムされた ILA および VIO デバッグ コアに関連付けられています。 これらのデバッグ コア オブジェク トに関連する hw_probe オブジェク トは、 次のよ うに取得できます。

get_hw_probes -of [get_hw_ilas hw_ila_2]get_hw_probes -of [get_hw_vios]

X-Ref Target - Figure 2-20

図 2-20: ハードウェア プローブ オブジェク ト

hw_viohw_ila hw_axi

hw_probe

hw_axi_txn

Page 66: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 66UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

プロパティ デバッグ プローブには、 ILA、 VIO_INPUT、 および VIO_OUTPUT の 3 つのタイプがあ り ます。 hw_probe オブジェ

ク トに割り当てられるプロパティは、 プローブのタイプによって異なり ます。 report_property コマンドを使用

する と、 hw_probe オブジェク トに割り当てられたプロパティをレポートできます。 詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 13] を参照してください。 次は、 ILA タイプの hw_probe オブジェク ト

に割り当てられたプロパティ とその値の例を示しています。

Property Type Read-only Visible ValueCAPTURE_COMPARE_VALUE string false true eq2'hXCLASS string true true hw_probeCOMPARATOR_COUNT int true true 4COMPARE_VALUE.0 string false false eq2'hXCORE_LOCATION string true false 1:0DISPLAY_HINT string false false DISPLAY_VISIBILITY string false false HW_ILA string true true hw_ila_1NAME string true true GPIO_BUTTONS_dlyPROBE_PORT int true true 3PROBE_PORT_BITS int true true 0PROBE_PORT_BIT_COUNT int true true 2TRIGGER_COMPARE_VALUE string false true eq2'hXTYPE string true true ila

特定の hw_probe オブジェク トのプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_hw_probes -filter {TYPE == ila}] 0]report_property -all [lindex [get_hw_probes -filter {TYPE == vio_input}] 0]report_property -all [lindex [get_hw_probes -filter {TYPE == vio_output}] 0]

Page 67: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 67UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

HW_SERVER

説明 ハードウェア サーバーは、 FPGA デザインをプログラムおよびデバッグするために使用する 1 つまたは複数のザイ

リ ンクス FPGA デバイスで構成された JTAG チェーンを含むハードウェア ボードハード ウェア ターゲッ トへの接続

を制御します。

open_hw コマンドでハード ウェア マネージャーを開いたら、 connect_hw_server コマンドを使用してハード

ウェア サーバーにローカルまたはリモートのいずれかで接続できます。 これによ り、 hw_server アプリ ケーシ ョ ンが

起動され、 hw_server オブジェク トが作成されます。

関連オブジェク ト 14 ページの図 1-3 に示すよ うに、 ハード ウェア サーバーは、 ハード ウェア マネージャーの先端のオブジェク トで、

ハード ウェア ターゲッ トへの接続を管理します。 hw_server に関するオブジェク トは、 次のよ うに取得できます。

get_hw_targets -of [get_hw_servers]

プロパティ report_property コマンドを使用する と、 hw_server オブジェク トに割り当てられたプロパティをレポートできま

す。 詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 13] を参照してください。 次は、

hw_target オブジェク トに割り当てられたプロパティ とその値の例を示しています。

Property Type Read-only Visible ValueCLASS string true true hw_serverHOST string true true localhostNAME string true true localhostPASSWORD string true true PORT string true true 60001SID string true true TCP:xcoatslab-1:3121VERSION string true true 20

hw_target のプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [get_hw_servers]

Page 68: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 68UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

HW_SIO_GT

説明 カスタマイズ可能なザイ リ ンクス FPGA 用の LogiCORE™ IP Integrated Bit Error Ratio Tester (IBERT) コアは、ギガビッ

ト ト ランシーバー (GT) を評価および監視するために設計されています。 IBERT コアはインシステム シ リ アル I/O の検証およびデバッグをイネーブルにし、 デザイン内の高速シ リアル I/O リ ンクを計測および最適化できるよ うにしま

す。 詳細は、 『Integrated Bit Error Ratio Tester 7 Series GTX Transceivers LogiCORE IP 製品ガイ ド』 (PG132) [参照 30] を参照してください。

IBERT デバッグ コアを使用する と、 GTX ト ランシーバーのダイナミ ッ ク リ コンフ ィギュレーシ ョ ン ポート (DRP) ポート を介して GT ト ランス ミ ッ ターとレシーバーを設定および調整できます。 これによ り、 GT のプロパティ設定

を変更したり、 ポート上の値を制御するレジスタを変更できます。

関連オブジェク ト

hw_sio_gt オブジェク トは、 hw_server、 hw_target、 hw_device、 hw_sio_gt、 hw_sio_common、 hw_sio_pll、 hw_sio_tx、hw_sio_rx、 または hw_sio_link オブジェク トに接続されます。 これらのオブジェク トに関連付けられた GT オブジェ

ク トは、 次のよ うに取得できます。

get_hw_sio_gts -of_objects [get_hw_sio_links]

次を使用する と、 hw_sio_gt オブジェク トに関連付けられたオブジェク ト を取得するこ と もできます。

get_hw_sio_gtgroups -of [get_hw_sio_gts *MGT_X0Y9]

X-Ref Target - Figure 2-21

図 2-21: hw_sio_gt オブジェク ト

hw_vio hw_sysmon hw_sio_iberthw_axi

hw_axi_txn

hw_sio_gtgroup

hw_sio_gt

hw_sio_tx hw_sio_rx

hw_sio_pll

hw_sio_scan

hw_sio_link

hw_sio_linkgroup

X14865-081315

Page 69: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 69UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

プロパティ

report_property コマンドを使用する と、特定の hw_sio_gt に割り当てられた実際のプロパティをレポートできま

す。 詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 13] を参照してください。

次は hw_sio_gt オブジェク トに割り当てられたプロパティ とその値の例です。

Property Type Read-only Visible ValueCLASS string true true hw_sio_gtCPLLREFCLKSEL enum false true GTREFCLK0CPLL_FBDIV enum false true 1CPLL_FBDIV_45 enum false true 4CPLL_REFCLK_DIV enum false true 1DISPLAY_NAME string true true MGT_X0Y8DRP.ALIGN_COMMA_DOUBLE string false true 0DRP.ALIGN_COMMA_ENABLE string false true 07FDRP.ALIGN_COMMA_WORD string false true 1DRP.ALIGN_MCOMMA_DET string false true 1DRP.ALIGN_MCOMMA_VALUE string false true 283DRP.ALIGN_PCOMMA_DET string false true 1DRP.ALIGN_PCOMMA_VALUE string false true 17CDRP.CBCC_DATA_SOURCE_SEL string false true 1DRP.CHAN_BOND_KEEP_ALIGN string false true 0DRP.CHAN_BOND_MAX_SKEW string false true 7DRP.CHAN_BOND_SEQ_1_1 string false true 17CDRP.CHAN_BOND_SEQ_1_2 string false true 100DRP.CHAN_BOND_SEQ_1_3 string false true 100DRP.CHAN_BOND_SEQ_1_4 string false true 100DRP.CHAN_BOND_SEQ_1_ENABLE string false true FDRP.CHAN_BOND_SEQ_2_1 string false true 100DRP.CHAN_BOND_SEQ_2_2 string false true 100DRP.CHAN_BOND_SEQ_2_3 string false true 100DRP.CHAN_BOND_SEQ_2_4 string false true 100DRP.CHAN_BOND_SEQ_2_ENABLE string false true FDRP.CHAN_BOND_SEQ_2_USE string false true 0DRP.CHAN_BOND_SEQ_LEN string false true 0DRP.CLK_CORRECT_USE string false true 0DRP.CLK_COR_KEEP_IDLE string false true 0DRP.CLK_COR_MAX_LAT string false true 13DRP.CLK_COR_MIN_LAT string false true 0FDRP.CLK_COR_PRECEDENCE string false true 1DRP.CLK_COR_REPEAT_WAIT string false true 00DRP.CLK_COR_SEQ_1_1 string false true 11CDRP.CLK_COR_SEQ_1_2 string false true 100DRP.CLK_COR_SEQ_1_3 string false true 100DRP.CLK_COR_SEQ_1_4 string false true 100DRP.CLK_COR_SEQ_1_ENABLE string false true FDRP.CLK_COR_SEQ_2_1 string false true 100DRP.CLK_COR_SEQ_2_2 string false true 100DRP.CLK_COR_SEQ_2_3 string false true 100DRP.CLK_COR_SEQ_2_4 string false true 100DRP.CLK_COR_SEQ_2_ENABLE string false true FDRP.CLK_COR_SEQ_2_USE string false true 0DRP.CLK_COR_SEQ_LEN string false true 0DRP.CPLL_CFG string false true BC07DCDRP.CPLL_FBDIV string false true 10DRP.CPLL_FBDIV_45 string false true 0DRP.CPLL_INIT_CFG string false true 00001E

Page 70: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 70UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

DRP.CPLL_LOCK_CFG string false true 01C0DRP.CPLL_REFCLK_DIV string false true 10DRP.DEC_MCOMMA_DETECT string false true 0DRP.DEC_PCOMMA_DETECT string false true 0DRP.DEC_VALID_COMMA_ONLY string false true 0DRP.DMONITOR_CFG string false true 000A01DRP.ES_CONTROL string false true 00DRP.ES_CONTROL_STATUS string false true 0DRP.ES_ERRDET_EN string false true 0DRP.ES_ERROR_COUNT string false true 0000DRP.ES_EYE_SCAN_EN string false true 1DRP.ES_HORZ_OFFSET string false true 000DRP.ES_PMA_CFG string false true 000DRP.ES_PRESCALE string false true 00DRP.ES_QUALIFIER string false true 00000000000000000000DRP.ES_QUAL_MASK string false true 00000000000000000000DRP.ES_RDATA string false true 00000000000000000000DRP.ES_SAMPLE_COUNT string false true 0000DRP.ES_SDATA string false true 00000000000000000000DRP.ES_SDATA_MASK string false true 00000000000000000000DRP.ES_UT_SIGN string false true 0DRP.ES_VERT_OFFSET string false true 000DRP.FTS_DESKEW_SEQ_ENABLE string false true FDRP.FTS_LANE_DESKEW_CFG string false true FDRP.FTS_LANE_DESKEW_EN string false true 0DRP.GEARBOX_MODE string false true 0DRP.OUTREFCLK_SEL_INV string false true 3DRP.PCS_PCIE_EN string false true 0DRP.PCS_RSVD_ATTR string false true 000000000000DRP.PD_TRANS_TIME_FROM_P2 string false true 03CDRP.PD_TRANS_TIME_NONE_P2 string false true 3CDRP.PD_TRANS_TIME_TO_P2 string false true 64DRP.PMA_RSV string false true 001E7080DRP.PMA_RSV2 string false true 2070DRP.PMA_RSV2_BIT4 string false true 1DRP.PMA_RSV3 string false true 0DRP.PMA_RSV4 string false true 00000000DRP.RXBUFRESET_TIME string false true 01DRP.RXBUF_ADDR_MODE string false true 1DRP.RXBUF_EIDLE_HI_CNT string false true 8DRP.RXBUF_EIDLE_LO_CNT string false true 0DRP.RXBUF_EN string false true 1DRP.RXBUF_RESET_ON_CB_CHANGE string false true 1DRP.RXBUF_RESET_ON_COMMAALIGN string false true 0DRP.RXBUF_RESET_ON_EIDLE string false true 0DRP.RXBUF_RESET_ON_RATE_CHANGE string false true 1DRP.RXBUF_THRESH_OVFLW string false true 3DDRP.RXBUF_THRESH_OVRD string false true 0DRP.RXBUF_THRESH_UNDFLW string false true 04DRP.RXCDRFREQRESET_TIME string false true 01DRP.RXCDRPHRESET_TIME string false true 01DRP.RXCDR_CFG string false true 0B800023FF10200020DRP.RXCDR_FR_RESET_ON_EIDLE string false true 0DRP.RXCDR_HOLD_DURING_EIDLE string false true 0DRP.RXCDR_LOCK_CFG string false true 15DRP.RXCDR_PH_RESET_ON_EIDLE string false true 0DRP.RXDFELPMRESET_TIME string false true 0FDRP.RXDLY_CFG string false true 001FDRP.RXDLY_LCFG string false true 030

Page 71: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 71UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

DRP.RXDLY_TAP_CFG string false true 0000DRP.RXGEARBOX_EN string false true 0DRP.RXISCANRESET_TIME string false true 01DRP.RXLPM_HF_CFG string false true 00F0DRP.RXLPM_LF_CFG string false true 00F0DRP.RXOOB_CFG string false true 06DRP.RXOUT_DIV string false true 0DRP.RXPCSRESET_TIME string false true 01DRP.RXPHDLY_CFG string false true 084020DRP.RXPH_CFG string false true 000000DRP.RXPH_MONITOR_SEL string false true 00DRP.RXPMARESET_TIME string false true 03DRP.RXPRBS_ERR_LOOPBACK string false true 0DRP.RXSLIDE_AUTO_WAIT string false true 7DRP.RXSLIDE_MODE string false true 0DRP.RX_BIAS_CFG string false true 004DRP.RX_BUFFER_CFG string false true 00DRP.RX_CLK25_DIV string false true 04DRP.RX_CLKMUX_PD string false true 1DRP.RX_CM_SEL string false true 3DRP.RX_CM_TRIM string false true 4DRP.RX_DATA_WIDTH string false true 5DRP.RX_DDI_SEL string false true 00DRP.RX_DEBUG_CFG string false true 000DRP.RX_DEFER_RESET_BUF_EN string false true 1DRP.RX_DFE_CTLE_STAGE1 string false true 8DRP.RX_DFE_CTLE_STAGE2 string false true 3DRP.RX_DFE_CTLE_STAGE3 string false true 0DRP.RX_DFE_GAIN_CFG string false true 020FEADRP.RX_DFE_H2_CFG string false true 000DRP.RX_DFE_H3_CFG string false true 040DRP.RX_DFE_H4_CFG string false true 0F0DRP.RX_DFE_H5_CFG string false true 0E0DRP.RX_DFE_KL_CFG string false true 00FEDRP.RX_DFE_KL_CFG2 string false true 3010D90CDRP.RX_DFE_LPM_CFG string false true 0954DRP.RX_DFE_LPM_HOLD_DURING_EIDLE string false true 0DRP.RX_DFE_UT_CFG string false true 11E00DRP.RX_DFE_VP_CFG string false true 03F03DRP.RX_DFE_XYD_CFG string false true 0000DRP.RX_DISPERR_SEQ_MATCH string false true 1DRP.RX_INT_DATAWIDTH string false true 1DRP.RX_OS_CFG string false true 0080DRP.RX_SIG_VALID_DLY string false true 09DRP.RX_XCLK_SEL string false true 0DRP.SAS_MAX_COM string false true 40DRP.SAS_MIN_COM string false true 24DRP.SATA_BURST_SEQ_LEN string false true FDRP.SATA_BURST_VAL string false true 4DRP.SATA_CPLL_CFG string false true 0DRP.SATA_EIDLE_VAL string false true 4DRP.SATA_MAX_BURST string false true 08DRP.SATA_MAX_INIT string false true 15DRP.SATA_MAX_WAKE string false true 07DRP.SATA_MIN_BURST string false true 04DRP.SATA_MIN_INIT string false true 0CDRP.SATA_MIN_WAKE string false true 04DRP.SHOW_REALIGN_COMMA string false true 1DRP.TERM_RCAL_CFG string false true 10

Page 72: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 72UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

DRP.TERM_RCAL_OVRD string false true 0DRP.TRANS_TIME_RATE string false true 0EDRP.TST_RSV string false true 00000000DRP.TXBUF_EN string false true 1DRP.TXBUF_RESET_ON_RATE_CHANGE string false true 0DRP.TXDLY_CFG string false true 001FDRP.TXDLY_LCFG string false true 030DRP.TXDLY_TAP_CFG string false true 0000DRP.TXGEARBOX_EN string false true 0DRP.TXOUT_DIV string false true 0DRP.TXPCSRESET_TIME string false true 01DRP.TXPHDLY_CFG string false true 084020DRP.TXPH_CFG string false true 0780DRP.TXPH_MONITOR_SEL string false true 00DRP.TXPMARESET_TIME string false true 01DRP.TX_CLK25_DIV string false true 04DRP.TX_CLKMUX_PD string false true 1DRP.TX_DATA_WIDTH string false true 5DRP.TX_DEEMPH0 string false true 00DRP.TX_DEEMPH1 string false true 00DRP.TX_DRIVE_MODE string false true 00DRP.TX_EIDLE_ASSERT_DELAY string false true 6DRP.TX_EIDLE_DEASSERT_DELAY string false true 4DRP.TX_INT_DATAWIDTH string false true 1DRP.TX_LOOPBACK_DRIVE_HIZ string false true 0DRP.TX_MAINCURSOR_SEL string false true 0DRP.TX_MARGIN_FULL_0 string false true 4EDRP.TX_MARGIN_FULL_1 string false true 49DRP.TX_MARGIN_FULL_2 string false true 45DRP.TX_MARGIN_FULL_3 string false true 42DRP.TX_MARGIN_FULL_4 string false true 40DRP.TX_MARGIN_LOW_0 string false true 46DRP.TX_MARGIN_LOW_1 string false true 44DRP.TX_MARGIN_LOW_2 string false true 42DRP.TX_MARGIN_LOW_3 string false true 40DRP.TX_MARGIN_LOW_4 string false true 40DRP.TX_PREDRIVER_MODE string false true 0DRP.TX_QPI_STATUS_EN string false true 0DRP.TX_RXDETECT_CFG string false true 1832DRP.TX_RXDETECT_REF string false true 4DRP.TX_XCLK_SEL string false true 0DRP.UCODEER_CLR string false true 0ES_HORZ_MIN_MAX string false true 32GT_TYPE string true true 7 Series GTXLINE_RATE string false true 0.000LOGIC.DEBUG_CLOCKS string false true 0LOGIC.ERRBIT_COUNT string false true 000000000000LOGIC.ERR_INJECT_CTRL string false true 0LOGIC.FRAME_LEN string false true 0000LOGIC.GT_SOURCES_SYSCLK string false true 0LOGIC.IDLE_DETECTED string false true 0LOGIC.IFG_LEN string false true 00LOGIC.LINK string false true 0LOGIC.MAX_LINERATE string false true 0001DCD65000LOGIC.MAX_REFCLK_FREQ string false true 07735940LOGIC.MGT_COORDINATE string false true 0008LOGIC.MGT_ERRCNT_RESET_CTRL string false true 0LOGIC.MGT_ERRCNT_RESET_STAT string false true 0LOGIC.MGT_NUMBER string false true 0075

Page 73: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 73UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

LOGIC.MGT_RESET_CTRL string false true 0LOGIC.MGT_RESET_STAT string false true 0LOGIC.PROTOCOL_ENUM string false true 0000LOGIC.RXPAT_ID string false true 1LOGIC.RXRECCLK_FREQ_CNT string false true 0000LOGIC.RXRECCLK_FREQ_TUNE string false true 4000LOGIC.RXUSRCLK2_FREQ_CNT string false true 0000LOGIC.RXUSRCLK2_FREQ_TUNE string false true 4000LOGIC.RXUSRCLK_FREQ_CNT string false true 0000LOGIC.RXUSRCLK_FREQ_TUNE string false true 4000LOGIC.RXWORD_COUNT string false true 000000000000LOGIC.RX_DCM_LOCK string false true 1LOGIC.RX_DCM_RESET_CTRL string false true 0LOGIC.RX_DCM_RESET_STAT string false true 0LOGIC.RX_FRAMED string false true 0LOGIC.SILICON_VERSION string false true 0300LOGIC.TIMER string false true 009736E7B9BCLOGIC.TXOUTCLK_FREQ_CNT string false true 0000LOGIC.TXOUTCLK_FREQ_TUNE string false true 4000LOGIC.TXPAT_ID string false true 1LOGIC.TXUSRCLK2_FREQ_CNT string false true 0000LOGIC.TXUSRCLK2_FREQ_TUNE string false true 4000LOGIC.TXUSRCLK_FREQ_CNT string false true 0000LOGIC.TXUSRCLK_FREQ_TUNE string false true 4000LOGIC.TX_DCM_LOCK string false true 1LOGIC.TX_DCM_RESET_CTRL string false true 0LOGIC.TX_DCM_RESET_STAT string false true 1LOGIC.TX_FRAMED string false true 0LOOPBACK enum false true NoneNAME string true true localhost/xilinx_tcf/Digilent/210203327463A/0_1/IBERT/Quad_117/MGT_X0Y8PARENT string true true localhost/xilinx_tcf/Digilent/210203327463A/0_1/IBERTPLL_STATUS string false true LOCKEDPORT.CFGRESET string false true 0PORT.CLKRSVD string false true 0PORT.CPLLFBCLKLOST string false true 0PORT.CPLLLOCK string false true 1PORT.CPLLLOCKDETCLK string false true 0PORT.CPLLLOCKEN string false true 1PORT.CPLLPD string false true 0PORT.CPLLREFCLKLOST string false true 0PORT.CPLLREFCLKSEL string false true 1PORT.CPLLRESET string false true 0PORT.DMONITOROUT string false true 1FPORT.EYESCANDATAERROR string false true 0PORT.EYESCANMODE string false true 0PORT.EYESCANRESET string false true 0PORT.EYESCANTRIGGER string false true 0PORT.GTREFCLKMONITOR string false true 1PORT.GTRESETSEL string false true 0PORT.GTRSVD string false true 0000PORT.GTRXRESET string false true 0PORT.GTTXRESET string false true 0PORT.LOOPBACK string false true 0PORT.PCSRSVDIN string false true 0000PORT.PCSRSVDIN2 string false true 00PORT.PCSRSVDOUT string false true 01F3PORT.PHYSTATUS string false true 1

Page 74: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 74UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

PORT.PMARSVDIN string false true 00PORT.PMARSVDIN2 string false true 00PORT.RESETOVRD string false true 0PORT.RX8B10BEN string false true 0PORT.RXBUFRESET string false true 0PORT.RXBUFSTATUS string false true 0PORT.RXBYTEISALIGNED string false true 0PORT.RXBYTEREALIGN string false true 0PORT.RXCDRFREQRESET string false true 0PORT.RXCDRHOLD string false true 0PORT.RXCDRLOCK string false true 0PORT.RXCDROVRDEN string false true 0PORT.RXCDRRESET string false true 0PORT.RXCDRRESETRSV string false true 0PORT.RXCHANBONDSEQ string false true 0PORT.RXCHANISALIGNED string false true 0PORT.RXCHANREALIGN string false true 0PORT.RXCHARISCOMMA string false true 00PORT.RXCHARISK string false true 00PORT.RXCHBONDEN string false true 0PORT.RXCHBONDI string false true 10PORT.RXCHBONDLEVEL string false true 0PORT.RXCHBONDMASTER string false true 0PORT.RXCHBONDO string false true 00PORT.RXCHBONDSLAVE string false true 0PORT.RXCLKCORCNT string false true 0PORT.RXCOMINITDET string false true 0PORT.RXCOMMADET string false true 0PORT.RXCOMMADETEN string false true 0PORT.RXCOMSASDET string false true 0PORT.RXCOMWAKEDET string false true 0PORT.RXDATAVALID string false true 0PORT.RXDDIEN string false true 0PORT.RXDFEAGCHOLD string false true 0PORT.RXDFEAGCOVRDEN string false true 0PORT.RXDFECM1EN string false true 0PORT.RXDFELFHOLD string false true 0PORT.RXDFELFOVRDEN string false true 0PORT.RXDFELPMRESET string false true 0PORT.RXDFETAP2HOLD string false true 0PORT.RXDFETAP2OVRDEN string false true 0PORT.RXDFETAP3HOLD string false true 0PORT.RXDFETAP3OVRDEN string false true 0PORT.RXDFETAP4HOLD string false true 0PORT.RXDFETAP4OVRDEN string false true 0PORT.RXDFETAP5HOLD string false true 0PORT.RXDFETAP5OVRDEN string false true 0PORT.RXDFEUTHOLD string false true 0PORT.RXDFEUTOVRDEN string false true 0PORT.RXDFEVPHOLD string false true 0PORT.RXDFEVPOVRDEN string false true 0PORT.RXDFEVSEN string false true 0PORT.RXDFEXYDEN string false true 0PORT.RXDFEXYDHOLD string false true 0PORT.RXDFEXYDOVRDEN string false true 0PORT.RXDISPERR string false true 00PORT.RXDLYBYPASS string false true 1PORT.RXDLYEN string false true 0PORT.RXDLYOVRDEN string false true 0

Page 75: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 75UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

PORT.RXDLYSRESET string false true 0PORT.RXDLYSRESETDONE string false true 0PORT.RXELECIDLE string false true 1PORT.RXELECIDLEMODE string false true 0PORT.RXGEARBOXSLIP string false true 0PORT.RXHEADER string false true 0PORT.RXHEADERVALID string false true 0PORT.RXLPMEN string false true 0PORT.RXLPMHFHOLD string false true 0PORT.RXLPMHFOVRDEN string false true 0PORT.RXLPMLFHOLD string false true 0PORT.RXLPMLFKLOVRDEN string false true 0PORT.RXMCOMMAALIGNEN string false true 0PORT.RXMONITOROUT string false true 7FPORT.RXMONITORSEL string false true 0PORT.RXNOTINTABLE string false true FFPORT.RXOOBRESET string false true 0PORT.RXOSHOLD string false true 0PORT.RXOSOVRDEN string false true 0PORT.RXOUTCLKFABRIC string false true 0PORT.RXOUTCLKPCS string false true 0PORT.RXOUTCLKSEL string false true 1PORT.RXPCOMMAALIGNEN string false true 0PORT.RXPCSRESET string false true 0PORT.RXPD string false true 0PORT.RXPHALIGN string false true 0PORT.RXPHALIGNDONE string false true 0PORT.RXPHALIGNEN string false true 0PORT.RXPHDLYPD string false true 0PORT.RXPHDLYRESET string false true 0PORT.RXPHMONITOR string false true 00PORT.RXPHOVRDEN string false true 0PORT.RXPHSLIPMONITOR string false true 04PORT.RXPMARESET string false true 0PORT.RXPOLARITY string false true 0PORT.RXPRBSCNTRESET string false true 0PORT.RXPRBSERR string false true 0PORT.RXPRBSSEL string false true 0PORT.RXQPIEN string false true 0PORT.RXQPISENN string false true 0PORT.RXQPISENP string false true 0PORT.RXRATE string false true 0PORT.RXRATEDONE string false true 0PORT.RXRESETDONE string false true 0PORT.RXSLIDE string false true 0PORT.RXSTARTOFSEQ string false true 0PORT.RXSTATUS string false true 0PORT.RXSYSCLKSEL string false true 3PORT.RXUSERRDY string false true 1PORT.RXVALID string false true 0PORT.SETERRSTATUS string false true 0PORT.TSTIN string false true FFFFFPORT.TSTOUT string false true 000PORT.TX8B10BBYPASS string false true FFPORT.TX8B10BEN string false true 0PORT.TXBUFDIFFCTRL string false true 4PORT.TXBUFSTATUS string false true 0PORT.TXCHARDISPMODE string false true 00PORT.TXCHARDISPVAL string false true 00

Page 76: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 76UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

PORT.TXCHARISK string false true 00PORT.TXCOMFINISH string false true 0PORT.TXCOMINIT string false true 0PORT.TXCOMSAS string false true 0PORT.TXCOMWAKE string false true 0PORT.TXDEEMPH string false true 0PORT.TXDETECTRX string false true 0PORT.TXDIFFCTRL string false true CPORT.TXDIFFPD string false true 0PORT.TXDLYBYPASS string false true 1PORT.TXDLYEN string false true 0PORT.TXDLYHOLD string false true 0PORT.TXDLYOVRDEN string false true 0PORT.TXDLYSRESET string false true 0PORT.TXDLYSRESETDONE string false true 0PORT.TXDLYUPDOWN string false true 0PORT.TXELECIDLE string false true 0PORT.TXGEARBOXREADY string false true 0PORT.TXHEADER string false true 0PORT.TXINHIBIT string false true 0PORT.TXMAINCURSOR string false true 00PORT.TXMARGIN string false true 0PORT.TXOUTCLKFABRIC string false true 1PORT.TXOUTCLKPCS string false true 0PORT.TXOUTCLKSEL string false true 2PORT.TXPCSRESET string false true 0PORT.TXPD string false true 0PORT.TXPDELECIDLEMODE string false true 0PORT.TXPHALIGN string false true 0PORT.TXPHALIGNDONE string false true 0PORT.TXPHALIGNEN string false true 0PORT.TXPHDLYPD string false true 0PORT.TXPHDLYRESET string false true 0PORT.TXPHDLYTSTCLK string false true 0PORT.TXPHINIT string false true 0PORT.TXPHINITDONE string false true 0PORT.TXPHOVRDEN string false true 0PORT.TXPISOPD string false true 0PORT.TXPMARESET string false true 0PORT.TXPOLARITY string false true 0PORT.TXPOSTCURSOR string false true 03PORT.TXPOSTCURSORINV string false true 0PORT.TXPRBSFORCEERR string false true 0PORT.TXPRBSSEL string false true 0PORT.TXPRECURSOR string false true 07PORT.TXPRECURSORINV string false true 0PORT.TXQPIBIASEN string false true 0PORT.TXQPISENN string false true 0PORT.TXQPISENP string false true 0PORT.TXQPISTRONGPDOWN string false true 0PORT.TXQPIWEAKPUP string false true 0PORT.TXRATE string false true 0PORT.TXRATEDONE string false true 0PORT.TXRESETDONE string false true 0PORT.TXSEQUENCE string false true 00PORT.TXSTARTSEQ string false true 0PORT.TXSWING string false true 0PORT.TXSYSCLKSEL string false true 3PORT.TXUSERRDY string false true 1

Page 77: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 77UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

RXDFEENABLED enum false true 1RXOUTCLKSEL enum false true RXOUTCLKPCSRXOUT_DIV enum false true 1RXPLL enum false true QPLLRXRATE enum false true Use RX_OUT_DIVRXTERM enum false true 900 mVRXTERMMODE enum false true ProgrammableRXUSRCLK2_FREQ string false true 0.048828RXUSRCLK_FREQ string false true 0.048828RX_BER string false true infRX_DATA_WIDTH enum false true 40RX_DFE_CTLE enum false true RX_INTERNAL_DATAPATH enum false true 4-byteRX_PATTERN enum false true PRBS 7-bitRX_RECEIVED_BIT_COUNT string false true 0STATUS string false true NO LINKSYSCLK_FREQ string false true 100.000000TXDIFFSWING enum false true 1.018 V (1100)TXOUTCLKSEL enum false true TXOUTCLKPMATXOUT_DIV enum false true 1TXPLL enum false true QPLLTXPOST enum false true 0.68 dB (00011)TXPRE enum false true 1.67 dB (00111)TXRATE enum false true Use TXOUT_DIVTXUSRCLK2_FREQ string false true 0.048828TXUSRCLK_FREQ string false true 0.048828TX_DATA_WIDTH enum false true 40TX_INTERNAL_DATAPATH enum false true 4-byteTX_PATTERN enum false true PRBS 7-bit

hw_sio_gt オブジェク トのプロパティをレポートするには、次のコマンドをコピーして Vivado Design Suite の Tcl シェ

ルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_hw_sio_gts] 0]

Page 78: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 78UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

HW_SIO_GTGROUP

説明 GT グループはハードウェア デバイス上の GT IO バンクに関連しているので、 使用可能な GT ピンおよびバンクは

ターゲッ トのザイ リ ンクス FPGA によって決ま り ます。 たとえば、 Kintex-7 XC7K325 パーツには 4 つのグループが

あ り、 それぞれに 4 つの差動 GT ピンのペアが含まれます。各 GT ピンには、独自のレシーバー (hw_sio_rx) と ト ラン

ス ミ ッ ター (hw_sio_tx) が含まれます。 GT グループには、 区画ごとに共有 PLL (クワ ッ ド PLL) も 1 つ含まれます。

GT グループは IBERT デバッグ コアで定義されており、 IBERT を RTL デザインに追加する と きに多数の設定を使用

してカスタマイズできます。 詳細は、 『Integrated Bit Error Ratio Tester 7 Series GTX Transceivers LogiCORE IP 製品ガイ

ド』 (PG132) [参照 30] を参照してください。

関連オブジェク ト GT グループは、 hw_device、 hw_sio_ibert、 hw_sio_gt、 hw_sio_common、 hw_sio_pll、 hw_sio_tx、 hw_sio_rx、 および hw_sio_link オブジェク トに接続されます。

これらのグループに接続された GT オブジェク トは、 次のよ うに取得できます。

get_hw_sio_gtgroups -of [get_hw_sio_gts *MGT_X0Y9]

プロパティ

report_property コマンドを使用する と、 hw_sio_gtgroup オブジェク トに割り当てられたプロパティをレポート

できます。 詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 13] を参照してください。

次は hw_sio_gtgroup オブジェク トに割り当てられたプロパティ とその値の例です。

Property Type Read-only Visible ValueCLASS string true true hw_sio_gtgroupDISPLAY_NAME string true true Quad_117GT_TYPE string true true 7 Series GTXNAME string true true localhost/xilinx_tcf/Digilent/210203327463A/0_1/IBERT/Quad_117PARENT string true true localhost/xilinx_tcf/Digilent/210203327463A/0_1/IBERT

特定の hw_sio_gtgroup のプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェ

ルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_hw_sio_gtgroups] 0]

Page 79: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 79UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

HW_SIO_IBERT

説明 カスタマイズ可能なザイ リ ンクス FPGA 用の LogiCORE™ IP Integrated Bit Error Ratio Tester (IBERT) コアは、ギガビッ

ト ト ランシーバー (GT) を評価および監視するために設計されています。 IBERT コアはインシステム シ リ アル I/O の検証およびデバッグをイネーブルにし、 FPGA ベース システムの高速シ リ アル I/O リ ンクを計測および最適化できる

よ うにします。 詳細は、 『Integrated Bit Error Ratio Tester 7 Series GTX Transceivers LogiCORE IP 製品ガイ ド』 (PG132) [参照 30] を参照してください。

IBERT デバッグ コアを使用する と、 次のよ うなデバイスの主な GT 機能を設定および制御できます。

• TX プリエンファシスおよびポス トエンファシス

• TX 差動振幅

• RX イコライゼーシ ョ ン

• 判定帰還等化 (DFE)

• 位相ロッ ク ループ (PLL) の分周設定

IBERT コアは、 単純なクロ ッ クや接続の問題から複雑なマージン解析およびチャネル最適化の問題まで、 さまざま

なインシステム デバッグおよび検証の問題を解決するために使用できます。

関連オブジェク ト 80 ページの図 2-22 に示すよ うに、 SIO IBERT デバッグ コアは、 hw_server、 hw_target、 hw_device、 hw_sio_gt、hw_sio_common、 hw_sio_pll、 hw_sio_tx、 hw_sio_rx、 または hw_sio_link オブジェク トに接続されます。

接続されたオブジェク トの IBERT デバッグ コアは、 次のよ うに取得できます。

get_hw_sio_iberts -of [get_hw_sio_plls *MGT_X0Y8/CPLL_0]

次を使用する と、 特定の IBERT コアに接続されたオブジェク ト も取得できます。

get_hw_sio_commons -of [get_hw_sio_iberts]

Page 80: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 80UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

プロパティ report_property コマンドを使用する と、 特定の hw_sio_ibert に割り当てられた実際のプロパティをレポートで

きます。 詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 13] を参照してください。

次は hw_sio_ibert オブジェク トに割り当てられたプロパティ とその値の例です。

Property Type Read-only Visible ValueCLASS string true true hw_sio_ibertCORE_REFRESH_RATE_MS int false true 0DISPLAY_NAME string true true IBERTNAME string true true localhost/xilinx_tcf/Digilent/210203327463A/0_1/IBERTUSER_REGISTER int true true 1

特定の hw_sio_ibert のプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェル

または Tcl コンソールに貼り付けます。

report_property -all [lindex [get_hw_sio_iberts] 0]

X-Ref Target - Figure 2-22

図 2-22: hw_sio_ibert オブジェク ト

hw_vio

hw_target hw_device

hw_sysmon hw_sio_iberthw_axi

be

hw_bitstream

hw_cfgmem

hw_axi_txn

hw_sio_gtgroup

hw_sio_gt

hw_sio_tx hw_sio_rx

hw_sio_pll

hw_sio_scan

hw_sio_sweep

hw_sio_link

hw_sio_linkgroup

X14866-081315

Page 81: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 81UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

HW_SIO_PLL

説明 ギガビッ ト ト ランシーバー (GT) を含むザイ リ ンクス デバイスの場合、 シ リ アル ト ランシーバー チャネルそれぞれ

に Channel PLL (CPLL) という リ ング PLL (位相ロッ ク ループ) が含まれます。ザイ リ ンクス UltraScale および 7 シ リー

ズ FPGA では、 GTX の各区画にクワッ ド PLL (QPLL) と呼ばれる追加の共有 PLL があ り ます。 この QPLL は、 高速、

高パフォーマンス、 低消費電力のマルチレーン アプリ ケーシ ョ ンをサポートするための共有 LC PLL です。

関連オブジェク ト

hw_sio_pll オブジェク トは、 hw_server、 hw_target、 hw_device、 hw_sio_ibert、 hw_sio_gt、 または hw_sio_common に接

続されます。

接続されたオブジェク トの PLL は次のよ うに取得できます。

get_hw_sio_plls -of [get_hw_sio_commons]

次を使用する と、 PLL に接続されたオブジェク ト も取得できます。

get_hw_sio_iberts -of [get_hw_sio_plls *MGT_X0Y8/CPLL_0]

プロパティ

report_property コマンドを使用する と、 特定の hw_sio_pll に割り当てられたプロパティをレポートできます。

詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 13] を参照してください。 次は、

QPLL タイプの hw_sio_pll オブジェク トに割り当てられたプロパティ とその値の例を示しています。

Property Type Read-only Visible ValueCLASS string true true hw_sio_pllDISPLAY_NAME string true true COMMON_X0Y2/QPLL_0DRP.QPLL_CFG string false true 06801C1DRP.QPLL_CLKOUT_CFG string false true 0DRP.QPLL_COARSE_FREQ_OVRD string false true 10DRP.QPLL_COARSE_FREQ_OVRD_EN string false true 0DRP.QPLL_CP string false true 01FDRP.QPLL_CP_MONITOR_EN string false true 0DRP.QPLL_DMONITOR_SEL string false true 0DRP.QPLL_FBDIV string false true 0E0DRP.QPLL_FBDIV_MONITOR_EN string false true 1DRP.QPLL_FBDIV_RATIO string false true 1DRP.QPLL_INIT_CFG string false true 000028DRP.QPLL_LOCK_CFG string false true 21E8DRP.QPLL_LOWER_BAND string false true 1DRP.QPLL_LPF string false true FDRP.QPLL_REFCLK_DIV string false true 10LOGIC.QPLLRESET_CTRL string false true 0LOGIC.QPLLRESET_STAT string false true 0LOGIC.QPLL_LOCK string false true 0NAME string true true localhost/xilinx_tcf/Digilent/210203327463A/0_1/IBERT/Quad_117/COMMON_X0Y2/QPLL_0

Page 82: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 82UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

PARENT string true true localhost/xilinx_tcf/Digilent/210203327463A/0_1/IBERT/Quad_117/COMMON_X0Y2PORT.QPLLDMONITOR string false true ECPORT.QPLLFBCLKLOST string false true 0PORT.QPLLLOCK string false true 1PORT.QPLLLOCKEN string false true 1PORT.QPLLOUTRESET string false true 0PORT.QPLLPD string false true 0PORT.QPLLREFCLKLOST string false true 0PORT.QPLLREFCLKSEL string false true 1PORT.QPLLRESET string false true 0PORT.QPLLRSVD1 string false true 0000PORT.QPLLRSVD2 string false true 1FQPLLREFCLKSEL enum false true GTREFCLK0QPLL_N_DIVIDER enum false true 64QPLL_REFCLK_DIV enum false true 1STATUS string false true LOCKED

hw_sio_pll オブジェク トのプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_hw_sio_plls] 0]

Page 83: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 83UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

HW_SIO_RX

説明 ハードウェア デバイス上では、 各 GT に PCS および PMA で構成される独立したレシーバー (hw_sio_rx) が含まれま

す。 高速シ リ アル データは、 ボード上の ト レースから GTX/GTH ト ランシーバー RX の PMA、 PCS、 そして最後に FPGA ロジッ クに送信されます。

関連オブジェク ト

hw_sio_rx オブジェク トは、 hw_server、 hw_target、 hw_device、 hw_sio_ibert、 hw_sio_gt、 または hw_sio_link に接続さ

れます。

接続されたオブジェク トの hw_sio_rx オブジェク トは、 次のよ うに取得できます。

get_hw_sio_rxs -of [get_hw_sio_gts]

次を使用する と、 特定の hw_sio_rx に接続されたオブジェク ト も取得できます。

get_hw_sio_links -of [get_hw_sio_rxs]

X-Ref Target - Figure 2-23

図 2-23: ハードウェア SIO RX および TX オブジェク ト

hw_sysmon hw_sio_iberthw_axi

hw_bitstream

hw_axi_txn

hw_sio_gt

hw_sio_tx hw_sio_rx

hw_sio_pll

hw_sio_scan

hw_sio_sweep

hw_sio_link

hw_sio_linkgroup

Page 84: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 84UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

プロパティ

report_property コマンドを使用する と、 特定の hw_sio_rx オブジェク トに割り当てられたプロパティをレポー

トできます。 詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 13] を参照してくださ

い。 次は、 hw_sio_rx オブジェク トに割り当てられたプロパティ とその値の例を示しています。

Property Type Read-only Visible ValueCLASS string true true hw_sio_rxDISPLAY_NAME string true true MGT_X0Y8/RXDRP.ES_CONTROL string false true 00DRP.ES_CONTROL_STATUS string false true 0DRP.ES_ERRDET_EN string false true 0DRP.ES_ERROR_COUNT string false true 0000DRP.ES_EYE_SCAN_EN string false true 1DRP.ES_HORZ_OFFSET string false true 000DRP.ES_PMA_CFG string false true 000DRP.ES_PRESCALE string false true 00DRP.ES_QUALIFIER string false true 00000000000000000000DRP.ES_QUAL_MASK string false true 00000000000000000000DRP.ES_RDATA string false true 00000000000000000000DRP.ES_SAMPLE_COUNT string false true 0000DRP.ES_SDATA string false true 00000000000000000000DRP.ES_SDATA_MASK string false true 00000000000000000000DRP.ES_UT_SIGN string false true 0DRP.ES_VERT_OFFSET string false true 000DRP.FTS_DESKEW_SEQ_ENABLE string false true FDRP.FTS_LANE_DESKEW_CFG string false true FDRP.FTS_LANE_DESKEW_EN string false true 0DRP.RXBUFRESET_TIME string false true 01DRP.RXBUF_ADDR_MODE string false true 1DRP.RXBUF_EIDLE_HI_CNT string false true 8DRP.RXBUF_EIDLE_LO_CNT string false true 0DRP.RXBUF_EN string false true 1DRP.RXBUF_RESET_ON_CB_CHANGE string false true 1DRP.RXBUF_RESET_ON_COMMAALIGN string false true 0DRP.RXBUF_RESET_ON_EIDLE string false true 0DRP.RXBUF_RESET_ON_RATE_CHANGE string false true 1DRP.RXBUF_THRESH_OVFLW string false true 3DDRP.RXBUF_THRESH_OVRD string false true 0DRP.RXBUF_THRESH_UNDFLW string false true 04DRP.RXCDRFREQRESET_TIME string false true 01DRP.RXCDRPHRESET_TIME string false true 01DRP.RXCDR_CFG string false true 0B800023FF10200020DRP.RXCDR_FR_RESET_ON_EIDLE string false true 0DRP.RXCDR_HOLD_DURING_EIDLE string false true 0DRP.RXCDR_LOCK_CFG string false true 15DRP.RXCDR_PH_RESET_ON_EIDLE string false true 0DRP.RXDFELPMRESET_TIME string false true 0FDRP.RXDLY_CFG string false true 001FDRP.RXDLY_LCFG string false true 030DRP.RXDLY_TAP_CFG string false true 0000DRP.RXGEARBOX_EN string false true 0DRP.RXISCANRESET_TIME string false true 01DRP.RXLPM_HF_CFG string false true 00F0DRP.RXLPM_LF_CFG string false true 00F0DRP.RXOOB_CFG string false true 06DRP.RXOUT_DIV string false true 0DRP.RXPCSRESET_TIME string false true 01

Page 85: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 85UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

DRP.RXPHDLY_CFG string false true 084020DRP.RXPH_CFG string false true 000000DRP.RXPH_MONITOR_SEL string false true 00DRP.RXPMARESET_TIME string false true 03DRP.RXPRBS_ERR_LOOPBACK string false true 0DRP.RXSLIDE_AUTO_WAIT string false true 7DRP.RXSLIDE_MODE string false true 0DRP.RX_BIAS_CFG string false true 004DRP.RX_BUFFER_CFG string false true 00DRP.RX_CLK25_DIV string false true 04DRP.RX_CLKMUX_PD string false true 1DRP.RX_CM_SEL string false true 3DRP.RX_CM_TRIM string false true 4DRP.RX_DATA_WIDTH string false true 5DRP.RX_DDI_SEL string false true 00DRP.RX_DEBUG_CFG string false true 000DRP.RX_DEFER_RESET_BUF_EN string false true 1DRP.RX_DFE_CTLE_STAGE1 string false true 8DRP.RX_DFE_CTLE_STAGE2 string false true 3DRP.RX_DFE_CTLE_STAGE3 string false true 0DRP.RX_DFE_GAIN_CFG string false true 020FEADRP.RX_DFE_H2_CFG string false true 000DRP.RX_DFE_H3_CFG string false true 040DRP.RX_DFE_H4_CFG string false true 0F0DRP.RX_DFE_H5_CFG string false true 0E0DRP.RX_DFE_KL_CFG2 string false true 3010D90CDRP.RX_DFE_KL_CFG string false true 00FEDRP.RX_DFE_LPM_CFG string false true 0954DRP.RX_DFE_LPM_HOLD_DURING_EIDLE string false true 0DRP.RX_DFE_UT_CFG string false true 11E00DRP.RX_DFE_VP_CFG string false true 03F03DRP.RX_DFE_XYD_CFG string false true 0000DRP.RX_DISPERR_SEQ_MATCH string false true 1DRP.RX_INT_DATAWIDTH string false true 1DRP.RX_OS_CFG string false true 0080DRP.RX_SIG_VALID_DLY string false true 09DRP.RX_XCLK_SEL string false true 0DRP.TXBUF_RESET_ON_RATE_CHANGE string false true 0DRP.TXPCSRESET_TIME string false true 01DRP.TXPMARESET_TIME string false true 01DRP.TX_LOOPBACK_DRIVE_HIZ string false true 0DRP.TX_RXDETECT_CFG string false true 1832DRP.TX_RXDETECT_REF string false true 4ES_HORZ_MIN_MAX string false true 32LINE_RATE string false true 0.000LOGIC.ERRBIT_COUNT string false true 000000000000LOGIC.GT_SOURCES_SYSCLK string false true 0LOGIC.LINK string false true 0LOGIC.MGT_ERRCNT_RESET_CTRL string false true 0LOGIC.MGT_ERRCNT_RESET_STAT string false true 0LOGIC.MGT_RESET_CTRL string false true 0LOGIC.MGT_RESET_STAT string false true 0LOGIC.RXPAT_ID string false true 1LOGIC.RXRECCLK_FREQ_CNT string false true 0000LOGIC.RXRECCLK_FREQ_TUNE string false true 4000LOGIC.RXUSRCLK2_FREQ_CNT string false true 0000LOGIC.RXUSRCLK2_FREQ_TUNE string false true 4000LOGIC.RXUSRCLK_FREQ_CNT string false true 0000LOGIC.RXUSRCLK_FREQ_TUNE string false true 4000

Page 86: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 86UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

LOGIC.RXWORD_COUNT string false true 000000000000LOGIC.RX_DCM_LOCK string false true 1LOGIC.RX_DCM_RESET_CTRL string false true 0LOGIC.RX_DCM_RESET_STAT string false true 0LOGIC.RX_FRAMED string false true 0LOGIC.TX_DCM_RESET_CTRL string false true 0LOGIC.TX_DCM_RESET_STAT string false true 1LOOPBACK enum false true Near-End PCSNAME string true true localhost/xilinx_tcf/Digilent/210203327463A/0_1/IBERT/Quad_117/MGT_X0Y8/RXPARENT string true true localhost/xilinx_tcf/Digilent/210203327463A/0_1/IBERT/Quad_117/MGT_X0Y8PORT.CFGRESET string false true 0PORT.CPLLRESET string false true 0PORT.EYESCANDATAERROR string false true 0PORT.EYESCANMODE string false true 0PORT.EYESCANRESET string false true 0PORT.EYESCANTRIGGER string false true 0PORT.GTRESETSEL string false true 0PORT.GTRXRESET string false true 0PORT.GTTXRESET string false true 0PORT.LOOPBACK string false true 1PORT.RESETOVRD string false true 0PORT.RX8B10BEN string false true 0PORT.RXBUFRESET string false true 0PORT.RXBUFSTATUS string false true 0PORT.RXBYTEISALIGNED string false true 0PORT.RXBYTEREALIGN string false true 0PORT.RXCDRFREQRESET string false true 0PORT.RXCDRHOLD string false true 0PORT.RXCDRLOCK string false true 0PORT.RXCDROVRDEN string false true 0PORT.RXCDRRESET string false true 0PORT.RXCDRRESETRSV string false true 0PORT.RXCHANBONDSEQ string false true 0PORT.RXCHANISALIGNED string false true 0PORT.RXCHANREALIGN string false true 0PORT.RXCHARISCOMMA string false true 00PORT.RXCHARISK string false true 00PORT.RXCHBONDEN string false true 0PORT.RXCHBONDI string false true 10PORT.RXCHBONDLEVEL string false true 0PORT.RXCHBONDMASTER string false true 0PORT.RXCHBONDO string false true 00PORT.RXCHBONDSLAVE string false true 0PORT.RXCLKCORCNT string false true 0PORT.RXCOMINITDET string false true 0PORT.RXCOMMADET string false true 0PORT.RXCOMMADETEN string false true 0PORT.RXCOMSASDET string false true 0PORT.RXCOMWAKEDET string false true 0PORT.RXDATAVALID string false true 0PORT.RXDDIEN string false true 0PORT.RXDFEAGCHOLD string false true 0PORT.RXDFEAGCOVRDEN string false true 0PORT.RXDFECM1EN string false true 0PORT.RXDFELFHOLD string false true 0PORT.RXDFELFOVRDEN string false true 0PORT.RXDFELPMRESET string false true 0

Page 87: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 87UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

PORT.RXDFETAP2HOLD string false true 0PORT.RXDFETAP2OVRDEN string false true 0PORT.RXDFETAP3HOLD string false true 0PORT.RXDFETAP3OVRDEN string false true 0PORT.RXDFETAP4HOLD string false true 0PORT.RXDFETAP4OVRDEN string false true 0PORT.RXDFETAP5HOLD string false true 0PORT.RXDFETAP5OVRDEN string false true 0PORT.RXDFEUTHOLD string false true 0PORT.RXDFEUTOVRDEN string false true 0PORT.RXDFEVPHOLD string false true 0PORT.RXDFEVPOVRDEN string false true 0PORT.RXDFEVSEN string false true 0PORT.RXDFEXYDEN string false true 0PORT.RXDFEXYDHOLD string false true 0PORT.RXDFEXYDOVRDEN string false true 0PORT.RXDISPERR string false true 00PORT.RXDLYBYPASS string false true 1PORT.RXDLYEN string false true 0PORT.RXDLYOVRDEN string false true 0PORT.RXDLYSRESET string false true 0PORT.RXDLYSRESETDONE string false true 0PORT.RXELECIDLE string false true 1PORT.RXELECIDLEMODE string false true 0PORT.RXGEARBOXSLIP string false true 0PORT.RXHEADER string false true 0PORT.RXHEADERVALID string false true 0PORT.RXLPMEN string false true 0PORT.RXLPMHFHOLD string false true 0PORT.RXLPMHFOVRDEN string false true 0PORT.RXLPMLFHOLD string false true 0PORT.RXLPMLFKLOVRDEN string false true 0PORT.RXMCOMMAALIGNEN string false true 0PORT.RXMONITOROUT string false true 7FPORT.RXMONITORSEL string false true 0PORT.RXNOTINTABLE string false true FFPORT.RXOOBRESET string false true 0PORT.RXOSHOLD string false true 0PORT.RXOSOVRDEN string false true 0PORT.RXOUTCLKFABRIC string false true 1PORT.RXOUTCLKPCS string false true 0PORT.RXOUTCLKSEL string false true 1PORT.RXPCOMMAALIGNEN string false true 0PORT.RXPCSRESET string false true 0PORT.RXPD string false true 0PORT.RXPHALIGN string false true 0PORT.RXPHALIGNDONE string false true 0PORT.RXPHALIGNEN string false true 0PORT.RXPHDLYPD string false true 0PORT.RXPHDLYRESET string false true 0PORT.RXPHMONITOR string false true 00PORT.RXPHOVRDEN string false true 0PORT.RXPHSLIPMONITOR string false true 04PORT.RXPMARESET string false true 0PORT.RXPOLARITY string false true 0PORT.RXPRBSCNTRESET string false true 0PORT.RXPRBSERR string false true 0PORT.RXPRBSSEL string false true 0PORT.RXQPIEN string false true 0

Page 88: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 88UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

PORT.RXQPISENN string false true 0PORT.RXQPISENP string false true 0PORT.RXRATE string false true 0PORT.RXRATEDONE string false true 0PORT.RXRESETDONE string false true 0PORT.RXSLIDE string false true 0PORT.RXSTARTOFSEQ string false true 0PORT.RXSTATUS string false true 0PORT.RXSYSCLKSEL string false true 3PORT.RXUSERRDY string false true 1PORT.RXVALID string false true 0PORT.TXDETECTRX string false true 0PORT.TXDLYSRESET string false true 0PORT.TXDLYSRESETDONE string false true 0PORT.TXPCSRESET string false true 0PORT.TXPHDLYRESET string false true 0PORT.TXPMARESET string false true 0PORT.TXRESETDONE string false true 0RXDFEENABLED enum false true 1RXOUTCLKSEL enum false true RXOUTCLKPCSRXOUT_DIV enum false true 1RXPLL enum false true QPLLRXRATE enum false true Use RX_OUT_DIVRXTERM enum false true 900 mVRXTERMMODE enum false true ProgrammableRXUSRCLK2_FREQ string false true 0.048828RXUSRCLK_FREQ string false true 0.048828RX_BER string false true infRX_DATA_WIDTH enum false true 40RX_DFE_CTLE enum false true RX_INTERNAL_DATAPATH enum false true 4-byteRX_PATTERN enum false true PRBS 7-bitRX_PLL string true true localhost/xilinx_tcf/Digilent/210203327463A/0_1/IBERT/Quad_117/COMMON_X0Y2/QPLL_0RX_RECEIVED_BIT_COUNT string false true 0STATUS string false true NO LINK

hw_sio_rx オブジェク トのプロパティをレポートするには、次のコマンドをコピーして Vivado Design Suite の Tcl シェ

ルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_hw_sio_rxs] 0]

Page 89: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 89UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

HW_SIO_TX

説明 ハードウェア デバイス上では、 各 GT に PCS および PMA で構成される独立した ト ランス ミ ッ ター (hw_sio_tx) が含

まれます。 パラレル データは、 デバイス ロジッ クから FPGA TX インターフェイスに送信され、 PCS および PMA を介して TX ド ラ イバーから高速シ リ アル データ と して出力されます。

関連オブジェク ト

83 ページの図 2-23 は、 hw_sio_tx オブジェク ト とその他のハードウェア オブジェク トの関係を示しています。

hw_sio_tx オブジェク トは、 hw_server、 hw_target、 hw_device、 hw_sio_ibert、 hw_sio_gt、 または hw_sio_link に接続さ

れます。

接続されたオブジェク トの hw_sio_tx オブジェク トは、 次のよ うに取得できます。

get_hw_sio_txs -of [get_hw_sio_gts]

次を使用する と、 特定の hw_sio_tx に接続されたオブジェク ト も取得できます。

get_hw_sio_links -of [get_hw_sio_txs]

プロパティ

report_property コマンドを使用する と、特定の hw_sio_tx オブジェク トに割り当てられたプロパティをレポート

できます。 詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 13] を参照してください。

次は、 hw_sio_tx オブジェク トに割り当てられたプロパティ とその値の例を示しています。

Property Type Read-only Visible ValueCLASS string true true hw_sio_txDISPLAY_NAME string true true MGT_X0Y8/TXDRP.TXBUF_EN string false true 1DRP.TXBUF_RESET_ON_RATE_CHANGE string false true 0DRP.TXDLY_CFG string false true 001FDRP.TXDLY_LCFG string false true 030DRP.TXDLY_TAP_CFG string false true 0000DRP.TXGEARBOX_EN string false true 0DRP.TXOUT_DIV string false true 0DRP.TXPCSRESET_TIME string false true 01DRP.TXPHDLY_CFG string false true 084020DRP.TXPH_CFG string false true 0780DRP.TXPH_MONITOR_SEL string false true 00DRP.TXPMARESET_TIME string false true 01DRP.TX_CLK25_DIV string false true 04DRP.TX_CLKMUX_PD string false true 1DRP.TX_DATA_WIDTH string false true 5DRP.TX_DEEMPH0 string false true 00DRP.TX_DEEMPH1 string false true 00DRP.TX_DRIVE_MODE string false true 00DRP.TX_EIDLE_ASSERT_DELAY string false true 6DRP.TX_EIDLE_DEASSERT_DELAY string false true 4DRP.TX_INT_DATAWIDTH string false true 1

Page 90: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 90UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

DRP.TX_LOOPBACK_DRIVE_HIZ string false true 0DRP.TX_MAINCURSOR_SEL string false true 0DRP.TX_MARGIN_FULL_0 string false true 4EDRP.TX_MARGIN_FULL_1 string false true 49DRP.TX_MARGIN_FULL_2 string false true 45DRP.TX_MARGIN_FULL_3 string false true 42DRP.TX_MARGIN_FULL_4 string false true 40DRP.TX_MARGIN_LOW_0 string false true 46DRP.TX_MARGIN_LOW_1 string false true 44DRP.TX_MARGIN_LOW_2 string false true 42DRP.TX_MARGIN_LOW_3 string false true 40DRP.TX_MARGIN_LOW_4 string false true 40DRP.TX_PREDRIVER_MODE string false true 0DRP.TX_QPI_STATUS_EN string false true 0DRP.TX_RXDETECT_CFG string false true 1832DRP.TX_RXDETECT_REF string false true 4DRP.TX_XCLK_SEL string false true 0LOGIC.ERR_INJECT_CTRL string false true 0LOGIC.TXOUTCLK_FREQ_CNT string false true 0000LOGIC.TXOUTCLK_FREQ_TUNE string false true 4000LOGIC.TXPAT_ID string false true 1LOGIC.TXUSRCLK2_FREQ_CNT string false true 0000LOGIC.TXUSRCLK2_FREQ_TUNE string false true 4000LOGIC.TXUSRCLK_FREQ_CNT string false true 0000LOGIC.TXUSRCLK_FREQ_TUNE string false true 4000LOGIC.TX_DCM_LOCK string false true 1LOGIC.TX_DCM_RESET_CTRL string false true 0LOGIC.TX_DCM_RESET_STAT string false true 1LOGIC.TX_FRAMED string false true 0NAME string true true localhost/xilinx_tcf/Digilent/210203327463A/0_1/IBERT/Quad_117/MGT_X0Y8/TXPARENT string true true localhost/xilinx_tcf/Digilent/210203327463A/0_1/IBERT/Quad_117/MGT_X0Y8PORT.GTTXRESET string false true 0PORT.TX8B10BBYPASS string false true FFPORT.TX8B10BEN string false true 0PORT.TXBUFDIFFCTRL string false true 4PORT.TXBUFSTATUS string false true 0PORT.TXCHARDISPMODE string false true 00PORT.TXCHARDISPVAL string false true 00PORT.TXCHARISK string false true 00PORT.TXCOMFINISH string false true 0PORT.TXCOMINIT string false true 0PORT.TXCOMSAS string false true 0PORT.TXCOMWAKE string false true 0PORT.TXDEEMPH string false true 0PORT.TXDETECTRX string false true 0PORT.TXDIFFCTRL string false true CPORT.TXDIFFPD string false true 0PORT.TXDLYBYPASS string false true 1PORT.TXDLYEN string false true 0PORT.TXDLYHOLD string false true 0PORT.TXDLYOVRDEN string false true 0PORT.TXDLYSRESET string false true 0PORT.TXDLYSRESETDONE string false true 0PORT.TXDLYUPDOWN string false true 0PORT.TXELECIDLE string false true 0PORT.TXGEARBOXREADY string false true 0PORT.TXHEADER string false true 0

Page 91: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 91UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

PORT.TXINHIBIT string false true 0PORT.TXMAINCURSOR string false true 00PORT.TXMARGIN string false true 0PORT.TXOUTCLKFABRIC string false true 1PORT.TXOUTCLKPCS string false true 0PORT.TXOUTCLKSEL string false true 2PORT.TXPCSRESET string false true 0PORT.TXPD string false true 0PORT.TXPDELECIDLEMODE string false true 0PORT.TXPHALIGN string false true 0PORT.TXPHALIGNDONE string false true 0PORT.TXPHALIGNEN string false true 0PORT.TXPHDLYPD string false true 0PORT.TXPHDLYRESET string false true 0PORT.TXPHDLYTSTCLK string false true 0PORT.TXPHINIT string false true 0PORT.TXPHINITDONE string false true 0PORT.TXPHOVRDEN string false true 0PORT.TXPISOPD string false true 0PORT.TXPMARESET string false true 0PORT.TXPOLARITY string false true 0PORT.TXPOSTCURSOR string false true 03PORT.TXPOSTCURSORINV string false true 0PORT.TXPRBSFORCEERR string false true 0PORT.TXPRBSSEL string false true 0PORT.TXPRECURSOR string false true 07PORT.TXPRECURSORINV string false true 0PORT.TXQPIBIASEN string false true 0PORT.TXQPISENN string false true 0PORT.TXQPISENP string false true 0PORT.TXQPISTRONGPDOWN string false true 0PORT.TXQPIWEAKPUP string false true 0PORT.TXRATE string false true 0PORT.TXRATEDONE string false true 0PORT.TXRESETDONE string false true 0PORT.TXSEQUENCE string false true 00PORT.TXSTARTSEQ string false true 0PORT.TXSWING string false true 0PORT.TXSYSCLKSEL string false true 3PORT.TXUSERRDY string false true 1TXDIFFSWING enum false true 1.018 V (1100)TXOUTCLKSEL enum false true TXOUTCLKPMATXOUT_DIV enum false true 1TXPLL enum false true QPLLTXPOST enum false true 0.68 dB (00011)TXPRE enum false true 1.67 dB (00111)TXRATE enum false true Use TXOUT_DIVTXUSRCLK2_FREQ string false true 0.048828TXUSRCLK_FREQ string false true 0.048828TX_DATA_WIDTH enum false true 40TX_INTERNAL_DATAPATH enum false true 4-byteTX_PATTERN enum false true PRBS 7-bitTX_PLL string true true localhost/xilinx_tcf/Digilent/210203327463A/0_1/IBERT/Quad_117/COMMON_X0Y2/QPLL_0

hw_sio_tx オブジェク トのプロパティをレポートするには、次のコマンドをコピーして Vivado Design Suite の Tcl シェ

ルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_hw_sio_txs] 0]

Page 92: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 92UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

HW_SYSMON

説明 システム モニター (HW_SYSMON) は、 ザイ リ ンクス デバイス上の Analog-to-Digital Converter (ADC) 回路で、 温度お

よび電圧などの動作状況を測定するために使用されます。 HW_SYSMON は、 オンチップ温度および供給センサーを

使用して物理的な環境を監視します。 ADC では、 広範囲のアプリ ケーシ ョ ンに高精度のアナログ インターフェイス

が提供されます。 ADC は最大で 17 の外部アナログ入力チャネルにアクセスできます。

HW_SYSMON には、 データ レジスタ (HW_SYSMON_REG オブジェク ト ) が含まれ、 温度および電圧の現在の値が

格納されます。 現在の hw_device でのこれらのレジスタの値には、 ハード ウェア サーバーと ターゲッ トに接続され

る場合、 Vivado Design Suite のハードウェア マネージャー機能を使用してアクセスできます。 hw_sysmon は、

Virtex-7 と UltraScale で異なり ます。 特定の XADC の特定のレジスタに関する詳細およびその使用方法については、

『UltraScale アーキテクチャ システム モニター ユーザー ガイ ド』 (UG580) [参照 12] または 『7 シ リーズ FPGA および Zynq-7000 SoC XADC デュアル 12 ビッ ト 1MSPS アナログ-デジタル コンバーター ユーザー ガイ ド』 (UG480) [参照 6] を参照してください。

特定のレジスタの値は get_hw_sysmon_reg コマンドを使用して System Monitor のレジスタに格納された 16 進数

値にアクセスして取得するこ と もできますが、 hw_sysmon オブジェク トのフォーマッ ト されたプロパティ と して表

示するこ と もできます。 たとえば、 レジスタの 16 進数に直接アクセスするのではなく、 次のコードを使用して、 指

定の hw_sysmon オブジェク トの TEMPERATURE プロパティを取得できます。

X-Ref Target - Figure 2-24

図 2-24: HW_SYSMON オブジェク ト

hw_server

hw_vio

hw_target hw_device

hw_ilahw_sysmon hw_sio_hw_axi

hw_probe

hw_ila_data

hw_sio_gt

hw_sio_tx hw_sio

hw_sysmon_reg

Page 93: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 93UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

get_property TEMPERATURE [get_hw_sysmons]

関連オブジェク ト

hw_sysmon オブジェク トは、 現在の hw_target および hw_server にプログラムされた hw_device のハードウェア マネージャーに含まれます。 hw_device の hw_sysmon は次のよ うに取得できます。

get_hw_sysmons -of [get_hw_devices]

プロパティ

report_property コマンドを使用する と、 hw_sysmon オブジェク トに割り当てられている実際のプロパティをレ

ポートできます。 詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 13] を参照してくだ

さい。

hw_sysmon のプロパティをレポートするには、次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_hw_sysmons] 0]

次は、 hw_sysmon オブジェク トに割り当てられたプロパティ とその値の例を示しています。

Property Type Read-only Visible ValueADC_A_GAIN hex true true 0000ADC_A_OFFSET hex true true 007eADC_B_GAIN hex true true 0000ADC_B_OFFSET hex true true ffbbCLASS string true true hw_sysmonCONFIG_REG.ACQ binary false true 0CONFIG_REG.ALM0 binary false true 0CONFIG_REG.ALM1 binary false true 0CONFIG_REG.ALM2 binary false true 0CONFIG_REG.ALM3 binary false true 0CONFIG_REG.ALM4 binary false true 0CONFIG_REG.ALM5 binary false true 0CONFIG_REG.ALM6 binary false true 0CONFIG_REG.AVG binary false true 00CONFIG_REG.BU binary false true 0CONFIG_REG.CAL0 binary false true 0CONFIG_REG.CAL1 binary false true 0CONFIG_REG.CAL2 binary false true 0CONFIG_REG.CAL3 binary false true 0CONFIG_REG.CAVG binary false true 0CONFIG_REG.CD binary false true 00000000CONFIG_REG.CH binary false true 00000CONFIG_REG.EC binary false true 0CONFIG_REG.MUX binary false true 0CONFIG_REG.OT binary false true 0CONFIG_REG.PD binary false true 00CONFIG_REG.SEQ binary false true 0000DESCRIPTION string true true XADCFLAG.ALM0 binary true true 0FLAG.ALM1 binary true true 0FLAG.ALM2 binary true true 0FLAG.ALM3 binary true true 0FLAG.ALM4 binary true true 0

Page 94: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 94UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

FLAG.ALM5 binary true true 0FLAG.ALM6 binary true true 0FLAG.JTGD binary true true 0FLAG.JTGR binary true true 0FLAG.OT binary true true 0FLAG.REF binary true true 0LOWER_TEMPERATURE string false true -273.1LOWER_TEMPERATURE_SCALE enum false true CELSIUSLOWER_VCCAUX string false true 0.000LOWER_VCCBRAM string false true 0.000LOWER_VCCINT string false true 0.000LOWER_VCCO_DDR string false true 0.000LOWER_VCCPAUX string false true 0.000LOWER_VCCPINT string false true 0.000MAX_TEMPERATURE string true true 41.7MAX_TEMPERATURE_SCALE enum false true CELSIUSMAX_VCCAUX string true true 1.805MAX_VCCBRAM string true true 0.997MAX_VCCINT string true true 1.000MAX_VCCO_DDR string true true 0.000MAX_VCCPAUX string true true 0.000MAX_VCCPINT string true true 0.000MIN_TEMPERATURE string true true 37.3MIN_TEMPERATURE_SCALE enum false true CELSIUSMIN_VCCAUX string true true 1.800MIN_VCCBRAM string true true 0.993MIN_VCCINT string true true 0.997MIN_VCCO_DDR string true true 2.999MIN_VCCPAUX string true true 2.999MIN_VCCPINT string true true 2.999NAME string true true localhost/xilinx_tcf/Digilent/210203336599A/xc7k325t_0/SYSMONSUPPLY_A_OFFSET hex true true 006bSUPPLY_B_OFFSET hex true true ffa9SYSMON_REFRESH_RATE_MS int false true 0TEMPERATURE string true true 37.8TEMPERATURE_SCALE enum false true CELSIUSUPPER_TEMPERATURE string false true -273.1UPPER_TEMPERATURE_SCALE enum false true CELSIUSUPPER_VCCAUX string false true 0.000UPPER_VCCBRAM string false true 0.000UPPER_VCCINT string false true 0.000UPPER_VCCO_DDR string false true 0.000UPPER_VCCPAUX string false true 0.000UPPER_VCCPINT string false true 0.000VAUXP0_VAUXN0 string true true 0.000VAUXP1_VAUXN1 string true true 0.000VAUXP2_VAUXN2 string true true 0.000VAUXP3_VAUXN3 string true true 0.000VAUXP4_VAUXN4 string true true 0.000VAUXP5_VAUXN5 string true true 0.000VAUXP6_VAUXN6 string true true 0.000VAUXP7_VAUXN7 string true true 0.000VAUXP8_VAUXN8 string true true 0.000VAUXP9_VAUXN9 string true true 0.000VAUXP10_VAUXN10 string true true 0.000VAUXP11_VAUXN11 string true true 0.000VAUXP12_VAUXN12 string true true 0.000VAUXP13_VAUXN13 string true true 0.000

Page 95: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 95UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

VAUXP14_VAUXN14 string true true 0.000VAUXP15_VAUXN15 string true true 0.000VCCAUX string true true 1.802VCCBRAM string true true 0.995VCCINT string true true 0.999VCCO_DDR string true true 0.000VCCPAUX string true true 0.000VCCPINT string true true 0.000VP_VN string true true 0.000VREFN string true true 0.000VREFP string true true 0.000

Page 96: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 96UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

HW_TARGET

説明 ハードウェア ターゲッ ト (hw_target) とは、 ビッ ト ス ト リーム ファ イルを使用してプログラム、 またはデザインをデ

バッグするために使用する、 1 つ以上のザイ リ ンクス FPGA デバイスから構成される JTAG チェーンを含むシステム ボードです。 システム ボード上のハードウェア ターゲッ ト と Vivado Design Suite との接続は、 ハード ウェア サー

バー オブジェク ト (hw_server) で制御されます。

使用可能なハードウェア ターゲッ トのいずれかへの接続を開くには、 open_hw_target コマンドを使用します。

開いたターゲッ トは、 自動的に現在のハードウェア ターゲッ ト とな り ます。 Vivado のロジッ ク解析では、 プログラ

ムおよびデバッグ コマンドが hw_server 接続を使用して開いたターゲッ トの FPGA オブジェク ト (hw_device) に使用

されます。

hw_target は、 open_hw_target コマンドに -jtag_mode オプシ ョ ンを付けて開く と、 ターゲッ ト を JTAG テス ト モー

ドにしてデバイスの命令レジスタ (IR) とデータ レジスタ (DR)、 またはターゲッ トのデバイスにアクセスできます。

ターゲッ ト を JTAG モードで開く と、 Vivado Design Suite のハードウェア マネージャー機能に hw_jtag オブジェク ト

が作成され、 JTAG TAP コン ト ローラーへアクセスできるよ うにな り ます。

サポート される JTAG ダウンロード ケーブルおよびデバイスのリ ス トは、 『Vivado Design Suite ユーザー ガイ ド : プロ

グラムおよびデバッグ』 (UG908) [参照 23] を参照してください。

関連オブジェク ト

ハードウェア ターゲッ トはハード ウェア サーバーに接続されており、 次のよ うに hw_server オブジェク トのオブ

ジェク ト と して取得できます。

get_hw_target -of [get_hw_servers]

また、 次を使用する と、 ハード ウェア ターゲッ トに接続されたハードウェア デバイスを取得できます。

get_hw_devices -of [current_hw_target]

ターゲッ ト を JTAG モードで開く と、 ターゲッ トの HW_JTAG プロパティを使用して作成された hw_jtag オブジェク

トにアクセスできるよ うにな り ます。

get_property HW_JTAG [current_hw_target]

X-Ref Target - Figure 2-25

図 2-25: ハードウェア ターゲッ ト オブジェク ト

hw_server hw_target hw_device

hw_bitstream

hw_cfgmem

Page 97: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 97UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

プロパティ

report_property コマンドを使用する と、 hw_target オブジェク トに割り当てられたプロパティをレポートできま

す。 詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 13] を参照してください。 次は、

hw_target オブジェク トに割り当てられたプロパティ とその値の例を示しています。

Property Type Read-only Visible ValueCLASS string true true hw_targetDEVICE_COUNT int true true 1HW_JTAG hw_jtag true true IS_OPENED bool true true 1NAME string true true localhost/xilinx_tcf/Digilent/210203327463APARAM.DEVICE string true true jsn-JTAG-SMT1-210203327463APARAM.FREQUENCY enum true true 15000000PARAM.TYPE string true true xilinx_tcfTID string true true jsn-JTAG-SMT1-210203327463AUID string true true Digilent/210203327463A

hw_target のプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [get_hw_targets]

Page 98: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 98UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

HW_VIO

説明 VIO (Virtual Input/Output) デバッグ コア (hw_vio) は、 ザイ リ ンクス FPGA にプログラムされている内部信号を リ アル

タイムで監視および駆動できます。 ターゲッ ト ハードウェアへの物理的なアクセスがない場合は、 このデバッグ機

能を使用して、 物理デバイス上の信号を駆動および監視できます。

VIO コアは、 ハード ウェア プローブ オブジェク ト を使用してデザインの特定の信号を監視および駆動します。 入力

プローブは、 VIO コアへの入力と して信号を監視します。 出力プローブは、 VIO コアから信号を指定の値に駆動し

ます。 プローブの値は set_property コマンドで定義され、 commit_hw_vio コマンドでプローブの信号に駆動さ

れます。

VIO デバッグ コアは、 ザイ リ ンクス IP カタログから RTL コードにインスタンシエートする必要があ り ます。 このた

め、 デザインをデバッグする前にどのネッ ト を監視および駆動するのかわかっておく必要があ り ます。 VIO コアは、

IP カタログの [Debug & Verification] → [Debug] カテゴ リに含まれます。 VIO コアの詳細は、 『Virtual Input/Output LogiCORE IP 製品ガイ ド』 (PG159) [参照 31] を参照してください。

関連オブジェク ト

VIO デバッグ コアは、 ザイ リ ンクス IP カタログから RTL ソース ファ イルのデザインに追加できます。 デバッグ コアは、 get_debug_cores コマンドを使用して合成済みネッ ト リ ス ト デザインで検索できます。 これらは Vivado Design Suite のハードウェア マネージャーに含まれる VIO デバッグ コア オブジェク ト (hw_vio) ではあ り ませんが、

関連はしています。

X-Ref Target - Figure 2-26

図 2-26: hw_vio オブジェク ト

hw_server

hw_vio

hw_target hw_device

hw_ila hw_sysmon hw_sio_iberthw_axi

hw_probe hw_sio_gt

Page 99: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 99UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

ハードウェア VIO デバッグ コアは、 プログラム済みのハードウェア デバイス オブジェク ト (hw_device) のハード

ウェア マネージャーに含まれます。 hw_device の hw_vio は次のよ うに取得できます。

get_hw_vios -of [current_hw_device]

また、 hw_vio デバッグ コアには、 それに接続されたプローブが含まれており、 次のよ うに取得できます。

get_hw_probes -of [get_hw_vios]

プロパティ report_property コマンドを使用する と、 hw_vio オブジェク トに割り当てられたプロパティをレポートできま

す。 詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 13] を参照してください。

Property Type Read-only Visible ValueCLASS string true true hw_vioCORE_REFRESH_RATE_MS int false true 500HW_CORE string true false core_1INSTANCE_NAME string true true i_vio_newIS_ACTIVITY_SUPPORTED bool true true 1NAME string true true hw_vio_1

hw_vio オブジェク トのプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェル

または Tcl コンソールに貼り付けます。

report_property -all [lindex [get_hw_vios] 0]

Page 100: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 100UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

IO_BANK

説明 ザイ リ ンクス 7 シ リーズ FPGA および UltraScale アーキテクチャでは、 通常高パフォーマンス (HP) および広範囲 (HR) I/O バンクが導入されています。 I/O バンクは、 I/O ブロ ッ ク (IOB) のコレクシ ョ ンで、 さまざまな標準インター

フェイスを広くサポートする、 コンフ ィギャラブルな SelectIO ド ラ イバーおよびレシーバー (シングルエンド と差動

の両方) が含まれます。 HP I/O バンクは、 高速メモ リ とその他の最大 1.8V 電圧までのチップ ト ゥ チップ インター

フェイスのパフォーマンス要件を満たすよ うに設計されており、 最大 3.3V 電圧までの広い範囲の I/O 規格がサポー

ト されています。

X-Ref Target - Figure 2-27

図 2-27: IO_BANK オブジェク ト

Pin

Port

Clock

Cell

Bel

Site/CLB

Clock Region

Package Pin

Bel Pin

Site Pin

Site Pip

I/O Standard

I/O Bank

Tile

Timing Path

SLR

PkgPin_ByteGroup

PkgPin_Nibble

Page 101: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 101UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

各 I/O バンクには、出力駆動電流およびスルー レートのプログラマブル制御、デジタル制御インピーダンス (DCI) を使用したオンチップ終端、 基準電圧 (INTERNAL_VREF) を内部生成する機能などが含まれます。

UltraScale デバイスの場合、ほとんどの I/O バンクに 52 個の IOB が含まれます (HR I/O ミ ニバンクには 26 個の IOB)。7 シ リーズの場合は、 ほとんどの I/O バンクに 50 個の IOB (ク ロ ッ ク領域の高さ と同じ ) が含まれます。 デバイスの I/O バンク数は、 サイズとパッケージ ピン配置によって異なり ます。

I/O バンクおよび、 I/O 割り当てに関するルールについては、 『7 シ リーズ FPGA SelectIO リ ソース ユーザー ガイ ド』 (UG471) [参照 2] および 『UltraScale アークテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571) [参照 8] を参照して

ください。

関連オブジェク ト 100 ページの図 2-27 は、 I/O バンク とポート ネッ ト リ ス ト オブジェク ト 、デバイスの package_pin、 I/O ブロ ッ クでイ

ンプリ メン ト された I/O 規格との関連を示しています。 関連するパッケージ ピン、 ポート、 ク ロ ッ ク領域、 または

サイ トの I/O バンクは、 次を使用する と取得できます。

get_iobanks -of [get_clock_regions X0Y2]

I/O バンクに関連付けられたポート、 ク ロ ッ ク領域、 サイ ト、 SLR、 I/O 規格、 パッケージ ピン、 pkgpin_bytegroup、および pkgpin_nibble オブジェク トは、 次を使用する と取得できます。

get_sites -of [get_iobanks 227]

プロパティ 次は、 I/O バンクで検出されたプロパティ とその値の例を示しています。

Property Type Read-only ValueBANK_TYPE string true BT_HIGH_PERFORMANCECLASS string true iobankDCI_CASCADE string* false INTERNAL_VREF double false IS_MASTER bool true 0IS_SLAVE bool true 0MASTER_BANK string true NAME string true 46VCCOSENSEMODE string false

I/O バンクのプロパティは、 次のコマンドを使用する と確認できます。

report_property -all [lindex [get_iobanks] 0]

Page 102: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 102UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

IO_STANDARD

説明 IO_STANDARD オブジェク トでは、 ターゲッ ト ザイ リ ンクス デバイスでサポート される使用可能な IOSTANDARD が定義されます。 IO_STANDARD オブジェク トは 「IOSTANDARD」 プロパティを使用して PORT オブジェク トに割

り当てる と、 現在のデザインで入力、 出力または双方向ポート をコンフ ィギュレーシ ョ ンできます。 サポート され

ている規格の詳細は、『7 シ リーズ FPGA SelectIO リ ソース ユーザー ガイ ド』 (UG471) [参照 2] および 『UltraScale アー

クテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571) [参照 8] を参照してください。

X-Ref Target - Figure 2-28

図 2-28: IO_STANDARD オブジェク ト

Net

Pin

Port

Clock

Cell

Bel

Site/CLB

Clock Region

Package Pin

Bel Pin

Site Pip

I/O Standard

I/O Bank

Wire

Node

Pip

Tile

Timing Path

SLR

PkgPin_ByteGroup

PkgPin_Nibble

Site Pin

X14872-081315

Page 103: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 103UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

関連オブジェク ト 特定の BEL、 SITE、 PACKAGE_PIN、 IO_BANK、 または PORT に関連付けられた IO_STANDARD は、 次を使用する

と取得できます。

get_io_standards -of [get_ports ddr4_sdram_dm_n[0]]

また、 特定の IO_STANDARD をインプリ メン トする PORT オブジェク トは、 次を使用する と取得できます。

get_ports -of [get_io_standards POD12_DCI]

ヒン ト : この場合、 ポートは IOSTANDARD プロパティでも見つけるこ とができます。

get_ports -filter {IOSTANDARD==POD12_DCI}

プロパティ 次は、 package_pin オブジェク トで検出されたプロパティ とその値の例を示しています。

Property Type Read-only ValueCLASS string true io_standardDIRECTION string true INPUT OUTPUT BIDIRDRIVE_STRENGTH string true NAHAS_VCCO_IN bool true 1HAS_VCCO_OUT bool true 1HAS_VREF bool true 1INPUT_TERMINATION string true SINGLEIS_DCI bool true 1IS_DIFFERENTIAL bool true 0NAME string true POD12_DCIOUTPUT_TERMINATION string true DRIVERSLEW string true SLOW MEDIUM FASTSUPPORTS_SLEW bool true 0VCCO_IN double true 1.200VCCO_OUT double true 1.200VREF double true 0.840

package_pin オブジェク トのプロパティは、 次のコマンドを使用する と確認できます。

report_property -all [lindex [get_io_standards] 0]

Page 104: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 104UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

NET

説明

NET というのは、 インターコネク ト されたピン、 ポートおよびワイヤのセッ ト を指します。 どのワイヤにもネッ ト

名があ り、 その名前でワイヤを区別します。 2 本以上のワイヤに同じネッ ト名が付いているこ とがあ り ます。 同じ

ネッ ト名が付いているワイヤは、 1 つのネッ トに含まれているこ とを示し、 こ う したワイヤに接続されているピンま

たはポートはすべて電気的に接続されています。

RTL ソース ファ イルを 1 つのネッ ト リ ス ト デザインにエラボレーシ ョ ンまたはコンパイルしている と き、 ネッ ト リ

ス ト デザインにネッ ト オブジェク トが追加されるたびにデフォルトネッ ト名がそのオブジェク トに割り当てられま

す。 手動でネッ トに名前を付けるこ と もできます。

ネッ トは、 1 つの信号から成るスカラー ネッ トか、 複数の信号から成るスカラー ネッ トのグループであるバス ネッ

トです。 バスを使用する と関連信号をまとめるこ とができ、 回路図が簡潔で理解しやすいものになり ます。 また、

主な回路とブロ ッ ク シンボルとの接続も明確になり ます。 バスは特に次の場合に便利です。

• 回路図のあるサイ ドから、 も う一方へと多数の信号を配線する場合

• 1 つのブロッ ク シンボルに複数の信号を接続する場合

X-Ref Target - Figure 2-29

図 2-29: NET オブジェク ト

Net

Pin

Port

Clock

Cell

Bel

Site

Clock Region

age

Bel Pin

Site Pin

Site Pipank

Wire

Node

Pip

Tile

Timing Path

Page 105: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 105UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

• 1 つの I/O マーカーに接続するこ とで、 階層レベルをまたぐ複数の信号を接続する場合

関連オブジェク ト デザイン ネッ ト リ ス トで、 ネッ ト をセルのピンまたはポートに接続できます。 また、 ポート を介してデザインに供

給されるクロ ッ クにネッ トは関連付けられ、 デザインのタイ ミ ング パスへと接続されます。 デザインに関する問題

をすばやく見つけて解決できるよ うに、 DRC 違反にネッ ト を関連付けるこ と もできます。 これらのさまざまなデザ

イン オブジェク トに関連するネッ トは、 次のよ うに取得できます。

get_nets -of [get_cells dbg_hub]

デザインがターゲッ トのザイ リ ンクス FPGA にマップされる と、 ネッ トは、 デバイスのワイヤ、 ノード、 PIP などの

配線リ ソースにマップされ、 BEL ピンを介して BE:L へ、 サイ ト ピンを介してサイ トへと接続されます。 特定の

ネッ ト またはデザイン内のネッ トに関連付けられたクロ ッ ク、 ピン、 BEL、 BEL_PIN、 SITE、 SITE_PIN、 TILE、NODE、 PIP、 WIRE は、 次を使用する と取得できます。

get_bel_pins -of [get_nets ddr4_sdram_adr[0]]

プロパティ ネッ ト オブジェク トの特定のプロパティは、 そのオブジェク トの示すネッ トのタイプによって異なり ます。 次の表

には、 Vivado Design Suite でネッ ト オブジェク トに割り当てられたプロパティの一部をその値の例と共にリ ス ト して

います。

Property Type Read-only Visible ValueAREA_GROUP string true true BEL string true true BLKNM string true true BUFFER_TYPE enum false true BUFG enum true true BUS_NAME string true true DataIn_pad_0_iBUS_START int true true 7BUS_STOP int true true 0BUS_WIDTH int true true 8CLASS string true true netCLOCK_BUFFER_TYPE enum false true CLOCK_DEDICATED_ROUTE enum false true CLOCK_REGION_ASSIGNMENT string false true CLOCK_ROOT string* false true COLLAPSE bool true true COOL_CLK bool true true DATA_GATE bool true true DCI_VALUE int false true DIFF_TERM bool false true DIRECT_ENABLE bool false true DIRECT_RESET bool false true DONT_TOUCH bool false true DRIVE int true false DRIVER_COUNT int true true 1ESSENTIAL_CLASSIFICATION_VALUE int false true FILE_NAME string true true FIXED_ROUTE string false true FLAT_PIN_COUNT int true true 1FLOAT bool true true GATED_CLOCK bool false true HBLKNM string true true

Page 106: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 106UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

HD.NO_ROUTE_CONTAINMENT bool false true HIERARCHICALNAME string true false top.DataIn_pad_0_i[0]HU_SET string true false IBUF_DELAY_VALUE double true true IBUF_LOW_PWR bool false true IFD_DELAY_VALUE double true true IN_TERM enum true true IOB enum false true IOBDELAY enum false true IOSTANDARD string true false LVCMOS18IO_BUFFER_TYPE enum false true IS_CONTAIN_ROUTING bool true true 0IS_INTERNAL bool true true 0IS_REUSED bool true true 0IS_ROUTE_FIXED bool false true 0KEEP bool true true KEEPER bool true true LINE_NUMBER int true true LOC string true true MARK_DEBUG bool false true 0MAXDELAY double true true MAXSKEW double true true MAX_FANOUT string false true METHODOLOGY_DRC_VIOS string false true MULTI_CLOCK_ROOT string* false true NAME string true true DataIn_pad_0_i[0]NODELAY bool true true NOREDUCE bool true true OUT_TERM enum true true PARENT string true true DataIn_pad_0_i[0]PARENT_CELL string true true PIN_COUNT int true true 1PULLDOWN bool true true PULLUP bool true true PWR_MODE enum true true RAM_STYLE enum false true REUSE_STATUS enum true true RLOC string true true RLOC_ORIGIN string true false RLOC_RANGE string true false ROM_STYLE enum false true ROUTE string false true ROUTE_STATUS enum true true INTRASITERPM_GRID enum true true RTL_KEEP string true false RTL_MAX_FANOUT string true false S bool true true SCHMITT_TRIGGER bool true true SLEW string true true SUSPEND string true true TYPE enum true true SIGNALUSELOWSKEWLINES bool true true USE_DSP48 enum false true U_SET string true false WEIGHT int false true WIREAND bool true true XBLKNM string true true XLNX_LINE_COL int false false XLNX_LINE_FILE long false false

Page 107: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 107UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

_HAVE_MD_DT bool true false async_reg string false true

ネッ ト オブジェク トのプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェル

または Tcl コンソールに貼り付けます。

report_property -all [lindex [get_nets] 0]

Page 108: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 108UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

NODE

説明

NODE は、 ザイ リ ンクス パーツで接続または NET を配線するために使用するデバイス オブジェク トです。 これは WIRE の集合体で、 物理的にも電気的にも相互接続されている複数のタイルにまたがっています。 NODE は 1 つの SITE_PIN に接続したり、 またはどのピンにも接続しないでおく こ とができます。 単に NET を SITE に配線したり、

SITE をまたがって配線するためだけに使用します。 NODE は任意数の PIP に接続でき、 また電源/グランドで駆動す

るこ と も可能です。

関連オブジェク ト 108 ページの図 2-30 にあるよ うに、 NODE オブジェク トは SLR、 TILE、 NET、 SITE_PIN、 WIRE、 PIP、 およびほか

の NODE に関連付けられます。 次の Tcl コマンドで、 NODE をクエ リできます。

get_nodes -of_objects [get_nets cpuClk]

X-Ref Target - Figure 2-30

図 2-30: NODE オブジェク ト

Net

Pin

Port

Clock

Cell

Bel

Site/CLB

Clock Region

Package Pin

Bel Pin

Site Pin

Site Pip

I/O Standard

/O Bank

Wire

Node

Pip

Tile

Timing Path

SLR

X14874-081315

Page 109: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 109UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

また、 SLR、 および NODE のある TILE、 または特定 NODE が関連付けられている PIP、 SITE_PINS、SPEED_MODEL、 WIRE をクエ リするこ と もできます。

get_slrs -of_objects [get_nodes LIOB33_SING_X0Y199/IOB_T_OUT0]

プロパティ

次のよ うなコマンドを使用して、 NODE オブジェク トのプロパティをレポートできます。

report_property -all [lindex [get_nodes -filter {IS_COMPLETE}] 0]

ヒン ト : デバイス上の NODE の数は非常に多いため、 検索結果を絞り込むための -of_objects や -filters を使

用して、 get_nodes Tcl コマンドを実行するよ うにして ください。

プロパティには次のよ うなものがあ り ます (例と して値も表示されています)。

Property Type Read-only ValueCLASS string true nodeCOST_CODE int true 14COST_CODE_NAME enum true OUTBOUNDIS_BAD bool true 0IS_COMPLETE bool true 1IS_GND bool true 0IS_INPUT_PIN bool true 0IS_OUTPUT_PIN bool true 0IS_PIN bool true 0IS_VCC bool true 0NAME string true CLBLL_L_X2Y50/CLBLL_LOGIC_OUTS4NUM_WIRES int true 2PIN_WIRE int true 65535SPEED_CLASS int true 191

Page 110: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 110UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

PACKAGE_PIN

説明 PACKAGE_PIN オブジェク トは、 デザインの特定の入力または出力に関連するザイ リ ンクス デバイス パッケージの

物理的なピンを示します。 PACKAGE_PIN への I/O ポートの割り当てについては、『Vivado Design Suite ユーザー ガイ

ド : I/O およびクロ ッ ク プランニング』 (UG899) [参照 17] を参照してください。

PACKAGE_PIN オブジェク トは、「PACKAGE_PIN」 プロパティを使用して PORT オブジェク トに関連付けるこ とがで

きます。

関連オブジェク ト PACKAGE_PIN オブジェク トは、 デザイン ネッ ト リ ス トの PORT オブジェク トに関連するほか、 ターゲッ ト デバイ

スの SITE、 BEL、 または IO_BANK オブジェク トに関連しています。 また、 PACKAGE_PIN オブジェク トは PKGPIN_BYTEGROUP および PKGPIN_NIBBLE オブジェク トにも関連しています。 PACKAGE_PIN は、 次の Tcl コマンドを使用する と取得できます。

get_package_pins

X-Ref Target - Figure 2-31

図 2-31: PACKAGE_PIN オブジェク ト

Pin

Port

Clock

Cell

Bel

Site/CLB

Clock Region

Package Pin

B

Site Pin

Site PipI/O Bank

Tile

Timing Path

PkgPin_ByteGroup

PkgPin_Nibble

Page 111: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 111UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

または、 関連オブジェク ト を使用して取得できます。

get_package_pins -of [get_ports]

特定のパッケージ ピンを使用して、 ポート、 サイ ト 、 SLR、 IO_BANK、 IO_STANDARD、 PKGPIN_BYTEGROUP、PKGPIN_NIBBLE を取得するこ と もできます。

get_port -of [get_package_pins AG17]

ヒン ト : この場合、 ポートは 「PACKAGE_PIN」 プロパティでも見つけるこ とができます。

get_ports -filter {PACKAGE_PIN==AG17}

プロパティ 次は、 package_pin オブジェク トで検出されたプロパティ とその値の例を示しています。

Property Type Read-only Visible ValueBANK string true true 44BUFIO_2_REGION string true true BLCLASS string true true package_pinDIFF_PAIR_PIN string true true AE21IS_BONDED bool true true 1IS_DIFFERENTIAL bool true true 1IS_GENERAL_PURPOSE bool true true 1IS_GLOBAL_CLK bool true true 0IS_LOW_CAP bool true true 0IS_MASTER bool true true 1IS_VREF bool true true 0IS_VRN bool true true 0IS_VRP bool true true 0MAX_DELAY int true true 72405MIN_DELAY int true true 71685NAME string true true AD21PIN_FUNC enum true true IO_L1P_T0L_N0_DBC_44PIN_FUNC_COUNT int true true 1

package_pin オブジェク トのプロパティは、 次のコマンドを使用する と確認できます。

report_property -all [lindex [get_package_pins] 0]

Page 112: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 112UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

PIN

説明

PIN はプリ ミ ティブまたは階層セルの論理的な接続点です。 セルの内容はピンを介して抽出でき、 ロジッ クは簡単

に使用できるよ うに簡易化されます。 また、 ピンは接続を 1 つ含むスカラーと して、 または複数の信号をまとめる

バス ピンと して定義できます。

関連オブジェク ト

ピンはセルに接続されます。 またネッ トによ りほかのセルのピンに接続できます。 また、 セルのピンは BEL オブ

ジェク トの BEL ピンに関連付けられ、 セルがマップされているサイ トのサイ ト ピンにも関連付けられています。 ク

ロ ッ ク ド メ インの一部と してピンはクロ ッ クに関連付けられており、 パスの始点、 終点、 中間点と して定義されて

いる場合はタイ ミ ング パスの一部になり ます。

また、デザインに関する問題をすばやく見つけて解決できるよ うに、 DRC 違反にピンを関連付けるこ と もできます。

X-Ref Target - Figure 2-32

図 2-32: PIN オブジェク ト

Net

Pin

Port

Clock

Cell

Bel

Site

Clock Region

Package Pin

Bel Pin

Site Pin

Wire

NodeTiming Path

Page 113: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 113UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

プロパティ

PIN オブジェク トには、 ク ロ ッ クおよびコン ト ロール ピンのピン タイプを定義するプロパティのコレクシ ョ ンが含

まれます。 これらの属性を使用する と、 Tcl スク リプ ト を記述したり、 PIN オブジェク ト を使用する際にタイプ別に

ピンのリ ス ト をフ ィルターできます。 次の表は、 プロパティを リ ス ト したものです。

ピン タイプを定義するこれらのプロパティ以外にも、 PIN オブジェク トのさまざまなプロパティに次が含まれます。

Property Type Read-only Visible ValueBEL string false true BUS_DIRECTION enum true true BUS_NAME string true true BUS_START int true true BUS_STOP int true true BUS_WIDTH int true true CLASS string true true pinCLOCK_DEDICATED_ROUTE enum false true DCI_VALUE int false true DIRECTION enum true true INESSENTIAL_CLASSIFICATION_VALUE int false true FB_ACTIVE bool false true HD.ASSIGNED_PPLOCS string* true true HD.CLK_SRC string false true HD.LOC_FIXED bool false false 0HD.PARTPIN_LOCS string* false true HD.PARTPIN_RANGE string* false true HD.PARTPIN_TIEOFF bool false true HD.TANDEM int false true HIERARCHICALNAME string true false top.cpuEngine.dwb_biu.\retry_cntr_reg[0] .CHOLD_DETOUR int false true HOLD_SLACK double true true needs timing update***

表 2-2:

プロパティ名 クロックとの関係 説明 例

IS_CLEAR 非同期 ブロッ ク出力を 0 ステートに指定。 FDCE の CLR ピン

IS_CLOCK 基準

ピンにはほかのピンとの間にセッ ト アップ/ホール

ドまたはリ カバリ / リ ムーバル関係があ り、 その関

係では基準ピンと して動作。

FDRE の C ピン

IS_ENABLE 同期ブロッ クのデータ キャプチャを許可または抑制す

る制御。FDRE の CE ピン

IS_PRESET 非同期 ブロッ ク出力を 1 ステートに指定。 FDPE の PRE ピン

IS_RESET 同期ブロッ ク出力を次のクロ ッ クで 0 ステートに変

更。FDRE の R ピン

IS_SET 同期ブロッ ク出力を次のクロ ッ クで 1 ステートに変

更。FDSE の S ピン

IS_SETRESET プログラマブル

プログラマブル同期または非同期セッ ト / リ セッ

ト 。 ピンのビヘイビアーはブロッ クの属性で制

御。

RAMB36E2 の RSTRAMB ピン

IS_WRITE_ENABLE 同期メモ リ ブロ ッ クで書き込み動作を許可または抑制

するピン イネーブル。

RAMB36E2 の WES ピン

Page 114: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 114UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

IS_CLEAR bool true true 0IS_CLOCK bool true true 1IS_CONNECTED bool true true 1IS_ENABLE bool true true 0IS_INVERTED bool false true 0IS_LEAF bool true true 1IS_ORIG_PIN bool true true 0IS_PRESET bool true true 0IS_RESET bool true true 0IS_REUSED bool true true 0IS_SET bool true true 0IS_SETRESET bool true true 0IS_WRITE_ENABLE bool true true 0LOGIC_VALUE string true true unknownMARK_DEBUG bool false true NAME string true true cpuEngine/dwb_biu/retry_cntr_reg[0]/CORIG_PIN_NAME string true true PARENT_CELL cell true true cpuEngine/dwb_biu/retry_cntr_reg[0]REF_NAME string true true FDCEREF_PIN_NAME string true true CSETUP_SLACK double true true needs timing update***TARGET_SITE_PINS string* false true XLNX_LINE_COL int false false XLNX_LINE_FILE long false false

ピンのプロパティは、 次のコマンドを使用する と確認できます。

report_property -all [lindex [get_pins] 0]

Page 115: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 115UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

PIP または SITE_PIP

説明

PIP は、 ザイ リ ンクス パーツで接続または NET を配線するために使用するデバイス オブジェク トです。 PIP は ARC と も呼ばれますが、 ある WIRE を別の WIRE に接続するためにプログラムするこ とができる接続マルチプレクサー

のこ とを言います。 つま り、 NODE を接続して、 デザインの特定 NET に必要な配線を作成します。

配線 BEL と しても知られる SITE_PIP は、 SITE 内にある接続マルチプレクサーで、 BEL_PIN を別の BEL_PIN に、 ま

たは SITE 内の SITE_PIN に接続できます。

X-Ref Target - Figure 2-33

図 2-33: PIP オブジェク ト

Net

Pin

Port

Clock

Cell

Bel

Site/CLB

Clock Region

Package Pin

Bel Pin

Site Pin

Site Pip

I/O Standard

I/O Bank

Wire

Node

Pip

Tile

Timing Path

SLR

PkgPin_ByteGroup

PkgPin_Nibble

X14877-081315

Page 116: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 116UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

関連オブジェク ト 115 ページの図 2-33 にあるよ うに、 PIP オブジェク トは SLR、 TILE、 NODE、 NET、 WIRE に関連付けられていま

す。 次の Tcl コマンドで、 PIP をクエ リできます。

get_pips -of [get_nodes INT_R_X7Y47/NW6BEG1]

また、 SLR、 および PIP のある TILE、 または特定 PIP が関連付けられている NODE、 SPEED_MODEL、 WIRE をクエ

リするこ と もできます。

get_nodes -of_objects [get_pips INT_R_X7Y47/INT_R.BYP_ALT0->>BYP_BOUNCE0]

SITE_PIP は SITE に関連付けられています。

get_site_pips -of [get_sites SLICE_X8Y79]

PIP プロパティ

次のよ うなコマンドを使用する と、 PIP オブジェク トのプロパティをレポートできます。

report_property -all [lindex [get_pips -of [get_tiles INT_R_X7Y47]] 0]

ヒン ト : デバイス上の PIP の数は非常に多いため、 検索結果を絞り込むための -of_objects や -filters を使用し

て、 get_pips Tcl コマンドを実行するよ うにして ください。

プロパティには次のよ うなものがあ り ます (例と して値も表示されています)。

Property Type Read-only Visible ValueCAN_INVERT bool true true 0CLASS string true true pipIS_BUFFERED_2_0 bool true true 0IS_BUFFERED_2_1 bool true true 1IS_DIRECTIONAL bool true true 1IS_EXCLUDED_PIP bool true true 0IS_FIXED_INVERSION bool true true 0IS_INVERTED bool true true 0IS_PSEUDO bool true true 0IS_SITE_PIP bool true true 0IS_TEST_PIP bool true true 0NAME string true true INT_R_X7Y47/INT_R.BYP_ALT0->>BYP_BOUNCE0SPEED_INDEX int true true 2336TILE string true true INT_R_X7Y47VORPAL_ID int true false

Page 117: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 117UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

SITE_PIP プロパティ

SITE_PIP のプロパティは、 次のコマンドでレポートできます。

get_site_pips -of [get_sites SLICE_X8Y79]

SITE_PIP のプロパティには次のよ うなものがあ り ます (例と して値も表示されています)。

Property Type Read-only Visible ValueCLASS string true true site_pipFROM_PIN string true true A1IS_FIXED bool true true 0IS_USED bool true true 0NAME string true true SLICE_X8Y79/D6LUT:A1SITE string true true SLICE_X8Y79TO_PIN string true true O6

Page 118: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 118UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

PKGPIN_BYTEGROUP

説明 7 シ リーズ デバイスでは、 I/O バンクの階層に I/O バンク とパッケージ ピンの 2 つのオブジェク ト タイプが含まれま

す。 ザイ リ ンクス UltraScale アーキテクチャでは、 I/O バンク階層にバイ ト グループとニブルの 2 つが追加されてい

ます。 UltraScale デバイスでのこれらのオブジェク トの関係は、 次のよ うに定義されています。

• 52 個のピンの 「IO_BANK」 には、4 つの pkgpin_bytegroups (26 個のピンの小型 IO_BANK には 2 つの bytegroups) が含まれます。

• 各 pkgpin_bytegroup には 13 個のパッケージ ピンが含まれ、 2 個 (上部と下部) の pkgpin_nibbles が含まれます。

• 各パッケージ ピン ニブル (pkgpin_nibble) には 6 ~ 7 本のピンが含まれ、 pkgpin_bytegroup の上位または下位ニ

ブルです。

X-Ref Target - Figure 2-34

図 2-34: PKGPIN_BYTEGROUP オブジェク ト

Pin

Port

Clock

Cell

Bel

Site/CLB

Clock Region

Package Pin

Bel Pin

Site Pin

Site Pip

I/O Standard

I/O Bank

Tile

Timing Path

SLR

PkgPin_ByteGroup

PkgPin_Nibble

X14878-081315

Page 119: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 119UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

• パッケージ ピン (package_pin) は、 I/O バンク (iobank)、 pkgpin_bytegroup、 または pkgpin_nibble の 1 つのピンで

す。

UltraScale では、 I/O バンクに接続された bitslice ロジッ クが pkgpin_bytegroups と pkgpin_nibbles にまとめられます。

これらのオブジェク ト を使用する と、 bitslice のグループのよ うな I/O ピンに関連する配置が実行しやすくな り ます。

たとえば、 バイ ト グループとニブルが UltraScale デバイスのメモ リ コン ト ローラーの I/O ピン割り当てに使用できま

す。 メモ リ I/O ピン グループを自動または手動で I/O バンク とバイ ト レーンに割り当てられるよ うにしたメモ リ バンク /バイ ト プランナーを使用する と、 Vivado IDE でエラボレート した RTL デザインまたは合成したデザインのいず

れかを開いて I/O プランニングをインタラ クティブに実行できます。 このプロセスの詳細は、 『Vivado Design Suite ユーザー ガイ ド : I/O およびクロ ッ ク プランニング』 (UG899) [参照 17] のこのセクシ ョ ンを参照してください。

関連オブジェク ト PKGPIN_BYTEGROUP と PKGPIN_NIBBLE は前述のよ うに、 IO_BANK、 PACKAGE_PIN、 および PORT と関連して

います。 また、 各 PKGPIN_BYTEGROUP はザイ リ ンクス デバイスの SITE に関連しています。 関連オブジェク トの PKGPIN_BYTEGROUP は、 次のよ うな Tcl コマンドを使用する と取得できます。

get_pkgpin_bytegroups -of [get_package_pins AG17]

次を使用する と、 特定の pkgpin_bytegroups に関連するパッケージ ピン オブジェク ト も取得できます。

get_package_pins -of [get_pkgpin_bytegroups BANK45_BYTE2]

プロパティ 次は、 PKGPIN_BYTEGROUP オブジェク トで検出されたプロパティ とその値の例を示しています。

Property Type Read-only ValueCLASS string true pkgpin_bytegroupINDEX_IN_IOBANK int true 2IOBANK int true 45NAME string true BANK45_BYTE2

バイ ト グループ オブジェク トのプロパティは、 次のコマンドを使用する と確認できます。

report_property -all [lindex [get_pkgpin_bytegroups] 0]

Page 120: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 120UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

PKGPIN_NIBBLE

説明 PKGPIN_NIBBLE は、 PKGPIN_BYTEGROUP の一部です。 このオブジェク トの詳細は、 118 ページの

「PKGPIN_BYTEGROUP」 を参照してください。

関連オブジェク ト PKGPIN_BYTEGROUP と PKGPIN_NIBBLE は前述のよ うに、 IO_BANK、 PACKAGE_PIN、 および PORT と関連して

います。 また、 各 PKGPIN_NIBBLE はザイ リ ンクス デバイスの SITE に関連しています。 関連オブジェク トの PKGPIN_NIBBLE は、 次のよ うな Tcl コマンドを使用する と取得できます。

get_pkgpin_nibbles -of [get_iobanks 45]

X-Ref Target - Figure 2-35

図 2-35: PKGPIN_NIBBLE オブジェク ト

Pin

Port

Clock

Cell

Bel

Site/CLB

Clock Region

Package Pin

Bel Pin

Site Pin

Site Pip

I/O Standard

I/O Bank

Tile

Timing Path

SLR

PkgPin_ByteGroup

PkgPin_Nibble

X14880-081315

Page 121: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 121UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

次を使用する と、 特定の PKGPIN_NIBBLE に関連するパッケージ ピン オブジェク ト も取得できます。

get_package_pins -of [get_pkgpin_nibbles BANK45_BYTE2_L]

プロパティ 次は、 PKGPIN_NIBBLE オブジェク トで検出されたプロパティ とその値の例を示しています。

Property Type Read-only ValueCLASS string true pkgpin_nibbleIOBANK int true 45NAME string true BANK45_BYTE2_LPKGPIN_BYTEGROUP string true BANK45_BYTE2TYPE string true L

PKGPIN_NIBBLE オブジェク トのプロパティは、 次のコマンドを使用する と確認できます。

report_property -all [lindex [get_pkgpin_nibbles] 0]

Page 122: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 122UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

PORT

説明 ポートは特殊タイプの階層ピンで、 階層デザインの最上位で外部との接続点とな り、 また階層セルのピンに内部ロ

ジッ クを接続するため、 階層セルやブロッ ク モジュールの内部接続点とな り ます。 また、 ポートは接続を 1 つ含む

スカラーと して、 または複数の信号をまとめるバス ポート と して定義できます。

X-Ref Target - Figure 2-36

図 2-36: PORT オブジェク ト

Net

Pin

Port

Clock

Cell

Bel

Site/CLB

Clock Region

Package Pin Site Pin

Site Pip

I/O Standard

I/O Bank

Wire

Node

Pip

Tile

Timing Path

SLR

PkgPin_ByteGroup

PkgPin_Nibble

Bel Pin

881-081315

Page 123: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 123UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

関連オブジェク ト デザインの最上位にあるポートは、デバイス パッケージの PACKAGE_PIN を介して、FPGA 外部のダイの IO_BANK に接続されます (この際、 割り当てられた IOSTANDARD が使用されます)。

また、 ポートはシステムまたはボードからデザインにクロ ッ ク定義をマップするこ とができ、 set_input_delay または set_output_delay 制約を使用して外部システムレベル パス遅延に割り当てる必要があ り ます。 これら制

約の詳細は、 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 19] を参照してください。

次のよ うな Tcl コマンドを使用する と、 特定のパッケージ ピン、 IO バンク、 I/O 規格、 サイ ト、 セル、 ネッ ト 、 ク

ロ ッ ク、 タイ ミ ング パス、 DRC 違反に割り当てられたポート を取得できます。

get_ports -of [get_clocks]

デザイン内では、 階層ネッ ト リ ス ト を構築するために、 ポートはネッ ト を介してセルに接続されます。 次のコマン

ド形式を使用する と、 ネッ ト 、 タイ ミ ング パス、 サイ ト、 I/O バンク、 I/O 規格、 パッケージ ピン、 パッケージ ピン グループ、 パッケージ ピン ニブルなどのポートに関連するオブジェク トが取得できます。

get_package_pins -of [all_inputs]

プロパティ 次は、 ポート オブジェク トで検出されたプロパティ とその値の例を示しています。

Property Type Read-only Visible ValueBOARD_PART_PIN string false true BOARD_PIN string false false BUFFER_TYPE enum false true BUS_DIRECTION enum true true BUS_NAME string true true BUS_START int true true BUS_STOP int true true BUS_WIDTH int true true CLASS string true true portCLOCK_BUFFER_TYPE enum false true DIFFTERMTYPE bool false false 0DIFF_PAIR_PORT string true true DIFF_PAIR_TYPE enum true true DIFF_TERM bool false true 0DIRECTION enum false true INDQS_BIAS enum false true DRIVE enum false true 12DRIVE_STRENGTH enum false false 12ESSENTIAL_CLASSIFICATION_VALUE int false true HD.ASSIGNED_PPLOCS string* true true HD.CLK_SRC string false true HD.LOC_FIXED bool false false 0HD.PARTPIN_LOCS string* false true HD.PARTPIN_RANGE string* false true HD.PARTPIN_TIEOFF bool false true HOLD_SLACK double true true needs timing update***IBUF_LOW_PWR bool false true 0INTERFACE string false true INTERMTYPE enum false false NONEIN_TERM enum false true NONEIOB enum false true

Page 124: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 124UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

IOBANK int true true 33IOSTANDARD enum false true LVCMOS18IOSTD enum false false LVCMOS18IO_BUFFER_TYPE enum false true IS_BEL_FIXED bool false false 1IS_FIXED bool false false 1IS_GT_TERM bool true true 0IS_LOC_FIXED bool false true 1IS_REUSED bool true true KEEP string false true KEEPER bool false false 0LOAD double false true LOC site false true IOB_X1Y43LOGIC_VALUE string true true unknownNAME string false true resetOFFCHIP_TERM string false true NONEOUT_TERM enum false true PACKAGE_PIN package_pin false true W9PIN_TYPE enum true false PIO_DIRECTION enum false true PULLDOWN bool false false 0PULLTYPE string false true PULLUP bool false false 0SETUP_SLACK double true true needs timing update***SITE site false false IOB_X1Y43SLEW enum false true SLEWTYPE enum false false SLEW_ADV enum false false UNCONNECTED bool true true 0USE_INTERNAL_VREF enum false true VCCAUX_IO enum false true XLNX_LINE_COL int false false XLNX_LINE_FILE long false false X_INTERFACE_INFO string false true

ポートのプロパティは、 次のコマンドを使用する と確認できます。

report_property -all [lindex [get_ports] 0]

Page 125: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 125UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

SITE

説明

SITE は、 ターゲッ トのザイ リ ンクス FPGA で使用可能なさまざまなタイプのロジッ ク リ ソースの 1 つを表すデバイ

ス オブジェク トで、

ルッ クアップテーブル (LUT)、 フ リ ップフロ ップ、 マルチプレクサー、 キャ リー ロジッ ク リ ソースなどの基本エレ

メン ト (BEL) の集合体である SLICE/CLB が含まれており、 高速な加算、 減算、 比較演算をインプリ メン トするため

に使用されます。 SLICE/CLB には、 幅の大きなマルチプレクサーと、 スライスからスライスを垂直方向に結ぶ専用

キャ リー チェーンが含まれます。

X-Ref Target - Figure 2-37

図 2-37: SITE オブジェク ト

Net

Pin

Port

Clock

Cell

Bel

Site/CLB

Clock Region

Package Pin Site Pin

Site Pip

I/O Standard

I/O Bank

Wire

Node

Pip

Tile

Timing Path

SLR

PkgPin_ByteGroup

PkgPin_Nibble

Bel Pin

X14882-081315

Page 126: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 126UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

デバイスには、 次の 2 種類のタイプがあ り ます。

• SLICEM は分散 RAM と してコンフ ィギュレーシ ョ ン可能です。 分散メモ リは一部の LUT のコンフ ィギュレー

シ ョ ン機能で、 小型の 64 ビッ ト メモ リ と して動作します。

• SLICEL LUT はロジッ ク と してのみ機能し、 メモ リ と しては機能しません。

2 つのスライスが 7 シ リーズ FPGA のコンフ ィギャラブル ロジッ ク ブロ ッ ク (CLB) にまとめられ、 2 つの CLB がデ

バイスの 1 つの TILE オブジェク トにま とめられます。 UltraScale アークテクチャでは、 CLB に 1 つの SLICE が含ま

れます。 詳細は、 『7 シ リーズ FPGA コンフ ィギャラブル ロジッ ク ブロ ッ ク ユーザー ガイ ド』 (UG474) [参照 4] また

は 『UltraScale アーキテクチャ コンフ ィギャラブル ロジッ ク ブロ ッ ク ユーザー ガイ ド』 (UG574) [参照 10] を参照し

てください。

SITE には、 ブロ ッ ク RAM、 DSP、 I/O ブロ ッ ク、 ク ロ ッ ク リ ソース、 GT ブロ ッ クなどさまざまなデバイス リ ソー

スも含まれています。

デバイス リ ソースを利用するには、 Vivado 合成を使用して HDL ソースから推論したり、 FPGA ライブラ リからプ リ

ミ ティブやマクロをインスタンシエート した り、 Vivado IP カタログから IP コアを使用します。 『Vivado Design Suite 7 シ リーズ FPGA および Zynq-7000 SoC ライブラ リ ガイ ド』 (UG953) [参照 25] および 『UltraScale アーキテクチャ ライブラ リ ガイ ド』 (UG974) [参照 26] には、 インスタンシエート可能なプリ ミ ティブのリ ス トがあ り ます。

使用可能な SITE タイプは、 使用されるザイ リ ンクス デバイスによって変わり ます。 SITE タイプには、 次が含まれ

ているものがあ り ます。

AMS_ADC AMS_DAC BSCAN BSCAN_JTAG_MONE2 BUFG BUFGCTRL BUFG_LB BUFHCE BUFIO BUFMRCE BUFR CAPTURE DCIRESET DNA_PORT DRP_AMS_ADC DRP_AMS_DAC DSP48E1 EFUSE_USR FIFO18E1 FIFO36E1 FRAME_ECC GLOBALSIG GTHE2_CHANNEL GTHE2_COMMON GTPE2_CHANNEL GTPE2_COMMON GTXE2_CHANNEL GTXE2_COMMON GTZE2_OCTAL IBUFDS_GTE2 ICAP IDELAYCTRL IDELAYE2 IDELAYE2_FINEDELAY ILOGICE2 ILOGICE3 IN_FIFO IOB IOB18 IOB18M IOB18S IOB33 IOB33M IOB33S IOBM IOBS IPAD ISERDESE2 KEY_CLEAR MMCME2_ADV ODELAYE2 ODELAYE2_FINEDELAY OLOGICE2 OLOGICE3 OPAD OSERDESE2 OUT_FIFO PCIE_2_1 PCIE_3_0 PHASER_IN PHASER_IN_ADV PHASER_IN_PHY

Page 127: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 127UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

PHASER_OUT PHASER_OUT_ADV PHASER_OUT_PHY PHASER_REF PHY_CONTROL PLLE2_ADV PMV2 RAMB18E1 RAMB36E1 RAMBFIFO36E1 SLICEL SLICEM STARTUP TIEOFF USR_ACCESS XADC

関連オブジェク ト

125 ページの図 2-37 にあるよ うに、 サイ トはさまざまなネッ ト リ ス トおよびデバイス オブジェク トに関連付けられ

ています。 フ リ ップフロ ップおよびラ ッチなどの最下位セルは、 BEL にマップされてその BEL が SLICEL や SLICEM などのサイ トにマップされるか、 BRAM や DSP などのサイ トに直接マップされます。 BEL およびサイ トは

タイルにまとめられ、 デバイスのクロ ッ ク領域および SLR に割り当てられます。 ポート、 ピン、 I/O バンク、 パッ

ケージ ピンは I/O ブロ ッ ク (IOB) に関連していますが、 この IOB もサイ トです。 さ らに、 サイ トにはピンまたは SITE_PIN があ り、 これらは NODE、 PIP、 PIN、 および NET にマップされます。 これらのオブジェク トに関連する

サイ トは、 次のよ うに取得できます。

get_sites -of [get_cells -hier microblaze_0]

サイ トは、 ELL、 PORT、 BEL、 BEL_PIN、 CLOCK_REGION、 SITE_PIN、 SLR、 TILE、 IO_BANK、

IO_STANDARD、 PACKAGE_PIN、 PKGPIN_BYTEGROUP、 PKGPIN_NIBBLE、 PIP、 および SITE_PIP などの関連オ

ブジェク ト を取得するためにも使用できます。 次に例を示します。

get_clock_regions -of [get_sites DSP48E2_X2Y119]

プロパティ

ザイ リ ンクス FPGA には 80 種類を越えるサイ トがあ り ますが、 すべて次のプロパティを共有しています。 次に値の

例を示します。

Property Type Read-only Visible ValueALTERNATE_SITE_TYPES string true true IOB33S IOB33M CLASS string true true siteCLOCK_REGION string true true X0Y6IS_BONDED bool true true 1IS_CLOCK_BUFFER bool true true 0IS_CLOCK_PAD bool true true 0IS_GLOBAL_CLOCK_BUFFER bool true true 0IS_GLOBAL_CLOCK_PAD bool true true 0IS_PAD bool true true 1IS_REGIONAL_CLOCK_BUFFER bool true true 0IS_REGIONAL_CLOCK_PAD bool true true 0IS_RESERVED bool true true 0IS_TEST bool true true 0IS_USED bool true true 0MANUAL_ROUTING string false true NAME string true true IOB_X0Y349NUM_ARCS int true true 9NUM_BELS int true true 7NUM_INPUTS int true true 12NUM_OUTPUTS int true true 5NUM_PINS int true true 17PRIMITIVE_COUNT int true true 0

Page 128: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 128UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

PROHIBIT bool false true 0PROHIBIT_FROM_PERSIST bool true true 0RPM_X int true true 1RPM_Y int true true 698SITE_PIPS string false true SITE_TYPE enum true true IOB33

サイ ト オブジェク トに割り当てられているプロパティはどのサイ ト タイプでも同じです。 上記にリ ス ト されている SITE_TYPE のプロパティを確認するには、 report_property コマンドを使用します。

report_property -all [lindex [get_sites -filter {SITE_TYPE == <SITE_TYPE>}] 0]

<SITE_TYPE> にはリ ス ト されているサイ ト タイプの 1 つが入り ます。 次に例を示します。

report_property -all [lindex [get_sites -filter {SITE_TYPE == DSP48E1}] 0]report_property -all [lindex [get_sites -filter {SITE_TYPE == RAMB36E1}] 0]report_property -all [lindex [get_sites -filter {SITE_TYPE == IBUFDS_GTE2}] 0]

Page 129: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 129UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

SLR

説明 SLR (Super Logic Region) は、 スタ ッ ク ド シ リ コン インターコネク ト (SSI) デバイスに含まれる 1 つの FPGA ダイ スライスです。 スタ ッ ク ド シ リ コン インターコネク ト (SSI) テク ノ ロジでは、 パッシブ シ リ コン インターポーザーを

マイクロバンプと Si 貫通電極 (TSV) と一緒に使用するこ とで、 SLR (Super Logic Region) と呼ばれる複数の FPGA ダイ スライスが 1 つのパッケージにまとめられます。

各 SLR には、 ほとんどのザイ リ ンクス FPGA デバイスに使用される能動回路が含まれ、 シ リ コン インターポーザー

の SLL (Super Long Lines) を介して接続されます。 SSI コンポーネン トの詳細は、 『UltraFast 設計手法ガイ ド (Vivado Design Suite 用)』 (UG949) [参照 24] のこのセクシ ョ ンを参照してください。

関連オブジェク ト SLR (Super Logic Region) は、 ザイ リ ンクス FPGA アーキテクチャまたはデバイスのダイ スライスで、 129 ページの

図 2-38 に示すよ うに、 各 SLR にはクロ ッ ク領域、 タイル、 サイ ト、 サイ ト ピン、 BEL、 BEL ピン、 ノード、 PIP、

X-Ref Target - Figure 2-38

図 2-38: SLR オブジェク ト

Net

Pin

Port

Clock

Cell

Bel

Site/CLB

Clock Region

Package Pin

Bel Pin

Site Pin

I/O Standard

I/O Bank

Wire

Node

Pip

Tile

Timing Path

SLR

Site Pip

X14884-081315

Page 130: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 130UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

セル、 ピン、 I/O バンク、 およびパッケージ ピンが含まれます。 SLR と これらのさまざまなタイプのオブジェク トの

関連については、 次のよ うな Tcl コマンドを使用する とわかり ます。 次のコマンドは、 指定したセルが割り当てられ

ている SLR を返します。

get_slrs -of [get_cells DataIn_pad_0_i_IBUF[3]_inst]

SLR に関連するクロ ッ ク領域、 タイル、 サイ ト、 または BEL も検索できます。 次の Tcl コマンドを使用する と、 特

定の SLR に関連するクロ ッ ク領域の I/O バンクが取得できます。

get_iobanks -of [get_clock_regions -of [get_slrs SLR3]]

プロパティ

report_property コマンドを使用する と、 SLR のプロパティをレポートできます。 詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 13] を参照してください。次は SLR オブジェク トのプロパティ とそ

の値の例です。

Property Type Read-only Visible ValueARCH string true true virtex7CHIP_TYPE string true true xc7vx1140t 0CLASS string true true slrCONFIG_ORDER_INDEX int true true 0IS_FABRIC bool true true 1IS_MASTER bool true true 1LOWER_RIGHT_CORNER int true true (0,157)LOWER_RIGHT_X int true true 0LOWER_RIGHT_Y int true true 157MAX_SITE_INDEX int true true 278381MAX_TILE_INDEX int true true 266114MIN_SITE_INDEX int true true 185588MIN_TILE_INDEX int true true 177410NAME string true true SLR1NUM_CHANNELS int true true 220NUM_SITES int true true 92794NUM_SLLS int true true 10780NUM_TILES int true true 23169NUM_TOP_CLOCK_CONNECTIONS int true true 32NUM_TOP_DATA_CONNECTIONS int true true 10780SLR_INDEX int true true 1UPPER_LEFT_CORNER int true true (564,313)UPPER_LEFT_X int true true 564UPPER_LEFT_Y int true true 313

特定の SLR のプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [get_slrs <name>]

<name> は、 レポートする SLR の名前です。

Page 131: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 131UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

TILE

説明

TILE は、 「SITE」 オブジェク ト を 1 つまたは複数含むデバイス オブジェク トです。 プログラマブル ロジッ ク TILE には、 SLICE/CLB、 BRAM、 I/O ブロ ッ ク、 ク ロ ッ ク リ ソース、 GT ブロ ッ クなどさまざまなオブジェク トが含まれま

す。 構造的には、 各タイルには多くの入力および出力と、 タイルの入力と出力を別のタイルに接続するプログラマ

ブル インターコネク トが含まれます。

TILE のタイプは、 使用されるザイ リ ンクス デバイスによって異なり ます。 使用可能な TILE_TYPE は次のとおりで

す。

AMS_ADC_TOP AMS_BRAM AMS_CLB_INTF_IOB AMS_CLK AMS_CMT AMS_DAC_TOP AMS_DRP_ADC_TOP AMS_DRP_DAC_TOP AMS_DSP AMS_INT AMS_INT_L AMS_INT_R AMS_IOI AMS_VBRK_INTF BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_R BRAM_L BRAM_R BRKH_BRAM BRKH_B_TERM_INT BRKH_CLB BRKH_CLK BRKH_CMT BRKH_DSP_L BRKH_DSP_R BRKH_GTX BRKH_INT BRKH_TERM_INT B_TERM_INT B_TERM_INT_SLV

X-Ref Target - Figure 2-39

図 2-39: TILE オブジェク ト

Cell

Bel

Site

Clock Region

e

Bel Pin

Site Pin

Site Pip

rd

k

Wire

Node

Pip

Tile

Timing Path

SLR

X14885-081315

Page 132: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 132UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

CFG_CENTER_BOT CFG_CENTER_MID CFG_CENTER_MID_SLAVE CFG_CENTER_TOP CFG_CENTER_TOP_SLAVE CLBLL_L CLBLL_R CLBLM_L CLBLM_R CLK_BALI_REBUF CLK_BALI_REBUF_GTZ_BOT CLK_BALI_REBUF_GTZ_TOP CLK_BUFG_BOT_R CLK_BUFG_REBUF CLK_BUFG_TOP_R CLK_FEED CLK_HROW_BOT_R CLK_HROW_TOP_R CLK_MTBF2 CLK_PMV CLK_PMV2 CLK_PMV2_SVT CLK_PMVIOB CLK_TERM CMT_FIFO_L CMT_FIFO_R CMT_PMV CMT_PMV_L CMT_TOP_L_LOWER_B CMT_TOP_L_LOWER_T CMT_TOP_L_UPPER_B CMT_TOP_L_UPPER_T CMT_TOP_R_LOWER_B CMT_TOP_R_LOWER_T CMT_TOP_R_UPPER_B CMT_TOP_R_UPPER_T DSP_L DSP_R GTH_CHANNEL_0 GTH_CHANNEL_1 GTH_CHANNEL_2 GTH_CHANNEL_3 GTH_COMMON GTH_INT_INTERFACE GTH_INT_INTERFACE_L GTX_CHANNEL_0 GTX_CHANNEL_1 GTX_CHANNEL_2 GTX_CHANNEL_3 GTX_COMMON GTX_INT_INTERFACE GTX_INT_INTERFACE_L GTZ_BOT GTZ_BRAM GTZ_CLB_INTF_IOB GTZ_CLK GTZ_CLK_B GTZ_CMT GTZ_DSP GTZ_INT GTZ_INT_L GTZ_INT_LB GTZ_INT_R GTZ_INT_RB GTZ_IOI GTZ_TOP GTZ_VBRK_INTF HCLK_BRAM HCLK_CLB HCLK_CMT HCLK_CMT_L HCLK_DSP_L HCLK_DSP_R HCLK_FEEDTHRU_1 HCLK_FEEDTHRU_2 HCLK_FIFO_L HCLK_GTX HCLK_INT_INTERFACE HCLK_IOB HCLK_IOI HCLK_IOI3 HCLK_L HCLK_L_BOT_UTURN HCLK_L_SLV HCLK_L_TOP_UTURN HCLK_R HCLK_R_BOT_UTURN HCLK_R_SLV HCLK_R_TOP_UTURN HCLK_TERM HCLK_TERM_GTX HCLK_VBRK HCLK_VFRAME INT_FEEDTHRU_1 INT_FEEDTHRU_2 INT_INTERFACE_L INT_INTERFACE_R INT_L INT_L_SLV INT_L_SLV_FLY INT_R INT_R_SLV INT_R_SLV_FLY IO_INT_INTERFACE_L IO_INT_INTERFACE_R LIOB18 LIOB18_SING LIOB33 LIOB33_SING LIOI LIOI3 LIOI3_SING LIOI3_TBYTESRC LIOI3_TBYTETERM LIOI_SING LIOI_TBYTESRC LIOI_TBYTETERM L_TERM_INT L_TERM_INT_BRAM MONITOR_BOT MONITOR_BOT_SLAVE MONITOR_MID MONITOR_TOP NULL PCIE3_BOT_RIGHT PCIE3_INT_INTERFACE_L PCIE3_INT_INTERFACE_R PCIE3_RIGHT PCIE3_TOP_RIGHT PCIE_BOT PCIE_BOT_LEFT PCIE_INT_INTERFACE_L PCIE_INT_INTERFACE_LEFT_L PCIE_INT_INTERFACE_R PCIE_NULL PCIE_TOP PCIE_TOP_LEFT RIOB18 RIOB18_SING RIOI RIOI_SING RIOI_TBYTESRC RIOI_TBYTETERM R_TERM_INT R_TERM_INT_GTX TERM_CMT T_TERM_INT T_TERM_INT_SLV VBRK VBRK_EXT

Page 133: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 133UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

VFRAME

関連オブジェク ト TILE オブジェク トは SLR、 CLOCK_REGION、 SITE、 SITE_PIN、 BEL および BEL_PIN デバイス リ ソース、 NODE、WIRE、 PIP 配線リ ソース、 および NET ネッ ト リ ス ト オブジェク トに関連しています。

たとえば、 次のコマンドを使用する と、 関連するオブジェク トの TILE を取得でき、 タイルを通る指定したネッ トが

表示されます。

get_tiles -of_objects [get_nets wbClk]

また、 TILE に関連した、 または TILE 内で見つかった SLR、 CLOCK_REGION、 NODE、 PIP、 WIRE、 SITE、 BEL、および NET オブジェク ト も取得できます。

get_bels -of_objects [get_tiles -filter {TILE_TYPE == GTX_CHANNEL_1}]

プロパティ

TILE オブジェク トにはさまざまなタイプがあ り、 TILE_TYPE プロパティで示されますが、 すべての TILE オブジェ

ク トに同じプロパティ セッ トが含まれます。

report_property コマンドを使用する と、 TILE オブジェク トに割り当てられたプロパティをレポートできます。

詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 13] を参照してください。

次は TILE オブジェク トの CLBLL タイプに割り当てられたプロパティ とその値の例です。

Property Type Read-only Visible Value CLASS string true true tile COLUMN int true true 50 DEVICE_ID int true true 0 FIRST_SITE_ID int true true 46 GRID_POINT_X int true true 50 GRID_POINT_Y int true true 1 INDEX int true true 167 INT_TILE_X int true true 17 INT_TILE_Y int true true 0 IS_CENTER_TILE bool true true 1 IS_DCM_TILE bool true true 0 IS_GT_CLOCK_SITE_TILE bool true true 0 IS_GT_SITE_TILE bool true true 0 NAME string true true CLBLL_L_X18Y199 NUM_ARCS int true true 146 NUM_SITES int true true 2 ROW int true true 1 SLR_REGION_ID int true true 0 TILE_PATTERN_IDX int true true 13 TILE_TYPE enum true true CLBLL_L TILE_TYPE_INDEX int true true 19 TILE_X int true true -16260 TILE_Y int true true 320944 TYPE string true true CLBLL_L

上記にリ ス ト されている TILE_TYPE のプロパティを確認するには、 report_property コマンドを使用します。

report_property -all [lindex [get_sites -filter {TILE_TYPE == <TILE_TYPE>}] 0]

Page 134: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 134UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

<SITE_TYPE> にはリ ス ト されているサイ ト タイプの 1 つが入り ます。 次に例を示します。

report_property -all [lindex [get_tiles -filter {TILE_TYPE == DSP_L}] 0]report_property -all [lindex [get_tiles -filter {TILE_TYPE == BRAM_L}] 0]report_property -all [lindex [get_tiles -filter {TILE_TYPE == GTX_CHANNEL_1}] 0]

Page 135: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 135UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

TIMING_PATH

説明 TIMING_PATH は、 デザインのエレ メン ト間の接続に基づいて定義されます。 デジタル デザインでは、 タイ ミ ング パスは同じクロ ッ クまたは異なる 2 つのクロ ッ クで制御される順次エレ メン トのペアで形成され、 信号を送信また

は受信します。

典型的なタイ ミ ング パスでは、 データは 1 ク ロ ッ ク周期の間に 2 つのシーケンシャル セル間を転送されます。 たと

えば、 送信エッジが 0 ns 時のと き、 到着エッジは 1 「CLOCK」 周期後になり ます。

よ く使用されるタイ ミ ング パスは、 次のとおりです。

• 入力ポートから内部シーケンシャル セルまでのパス

• シーケンシャル セル間の内部パス

• 内部シーケンシャル セルから出力ポート までのパス

• 内部ポートから出力ポート までのパス

タイ ミ ング パスはそれぞれの始点、 通過点、 終点で定義されます。 パスの始点はシーケンシャル セルのクロ ッ ク ピンまたはデータ入力ポートで、 パスの終点はシーケンシャル セルのデータ入力ピンまたはデータ出力ポートです。

TIMING_PATH オブジェク トは、 さまざまな度合いで選択または指定できます。 1 つの一意のタイ ミ ング パスは、 始

点、 通過点、 終点の組み合わせで定義され、 複数のタイ ミ ング パスは共通の始点、 または共通の終点から指定でき

ます。

制約は、 タイ ミ ング パスの定義に従ってタイ ミ ング パスに適用されます。 制約の適用される優先順はタイ ミ ング パスにも適用され、 次の順序で優先されます。

1. -from -through -to (一意のタイ ミ ング パス)

2. -from -to

3. -from -through

4. -from

5. -through -to

6. -to

7. -through (この点を通るタイ ミ ング パスすべて)

タイ ミ ング パスの詳細は、 『Vivado Design Suite ユーザー ガイ ド : デザイン解析およびクロージャ テクニッ ク』 (UG906) [参照 22] を参照してください。

Page 136: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 136UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

関連オブジェク ト

TIMING_PATH オブジェク トは、 get_timing_paths コマンドで取得できます。 これによ り、 関連する CLOCK、

PIN、 PORT、 または CELL オブジェク ト を使用してパスの始点、 通過点、 終点を特定し、 タイ ミ ングを指定しでき

ます。

get_timing_paths -from fftEngine/control_reg_reg[1] -max_paths 10

また、 指定したタイ ミ ング パスに関連する CELL、 NET、 PIN、 または PORT オブジェク ト も取得できます。

get_nets -of_objects [get_timing_paths -max_paths 10]

プロパティ

次は TIMING_PATH オブジェク トに割り当てられたプロパティ とその値の例です。

Property Type Read-only Visible Value CLASS string true true timing_path CLOCK_PESSIMISM double true true -0.661 CORNER string true true Slow DATAPATH_DELAY double true true 6.934 DELAY_TYPE string true true max ENDPOINT_CLOCK clock true true cpuClk_3 ENDPOINT_CLOCK_DELAY double true true -2.149 ENDPOINT_CLOCK_EDGE double true true 20.000 ENDPOINT_PIN pin true true cpuEngine/or1200_immu_top/qmemimmu_cycstb_o_reg/D EXCEPTION string true true GROUP string true true cpuClk_3 INPUT_DELAY double true true INTER_SLR_COMPENSATION double true true LOGIC_LEVELS int true true 16 NAME string true true {usbEngine0/u4/inta_reg/C --> cpuEngine/or1200_immu_top/qmemimmu_cycstb_o_reg/D} OUTPUT_DELAY double true true REQUIREMENT double true true 10.000 SKEW double true true -0.057 SLACK double true true 2.865 STARTPOINT_CLOCK clock true true usbClk_2

X-Ref Target - Figure 2-40

図 2-40: TIMING_PATH オブジェク ト

Net

Pin

Port

Clock

Cell NTiming Path

Bel Pin

Page 137: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 137UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

STARTPOINT_CLOCK_DELAY double true true -2.754 STARTPOINT_CLOCK_EDGE double true true 10.000 STARTPOINT_PIN pin true true usbEngine0/u4/inta_reg/C UNCERTAINTY double true true 0.202 USER_UNCERTAINTY double true true

TIMING_PATH オブジェク トのプロパティは、 次のコマンドを使用する と確認できます。

report_property -all [lindex [get_timing_paths] 0]

Page 138: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 138UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

WIRE

説明

WIRE は、 ザイ リ ンクス パーツでの接続点または NET を配線するために使用するデバイス オブジェク トです。 ワイ

ヤは、 1 つのタイルの中にあるインターコネク トの金属線です。 ワイヤは、 PIP、 電源/グランド、 SITE_PIN の間を

接続します。

ヒン ト : WIRE オブジェク ト を、 デザインの Verilog ファ イルのワイヤ エンティティ と混同しないよ うにして くださ

い。 これらのワイヤは、 WIRE オブジェク トで定義されるデザインの配線リ ソースではなく、 デザインの NET に関

連付けられていています。

関連オブジェク ト 115 ページの図 2-33 にあるよ うに、 WIRE オブジェク トは TILE、 NODE、 PIP、 NET に関連付けられています。 次の Tcl コマンドで、 WIRE をクエ リできます。

X-Ref Target - Figure 2-41

図 2-41: WIRE オブジェク ト

Net

Pin

Port

Clock

Cell

Bel

Site/CLB

Clock Region

age

Bel Pin

Site Pin

Site Pip

ard

ank

Wire

Node

Pip

Tile

Timing Path

SLR

Page 139: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 139UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

get_wires -of [get_tiles INT_R_X7Y47]

また、 WIRE のある TILE、 または特定 WIRE が関連付けられている NODE をクエ リするこ と もできます。

get_nodes -of_objects [get_wires INT_R_X7Y47/NW6BEG1]

プロパティ

次のよ うなコマンドを使用して、 WIRE オブジェク トのプロパティはレポートできます。

report_property -all [lindex [get_wires -of [get_nodes INT_R_X7Y47/NW6BEG1]] 0]

ヒン ト : デバイス上の WIRE の数は非常に多いため、検索結果を絞り込むための -of_objects や -filters を使用

して、 get_wires Tcl コマンドを実行するよ うにして ください。

プロパティには次のよ うなものがあ り ます (例と して値も表示されています)。

Property Type Read-only Visible ValueCLASS string true true wireCOST_CODE int true true 3ID_IN_TILE_TYPE int true true 123IS_CONNECTED bool true true 1IS_INPUT_PIN bool true true 0IS_OUTPUT_PIN bool true true 0IS_PART_OF_BUS bool true true 0NAME string true true INT_R_X7Y47/NW6BEG1NUM_DOWNHILL_PIPS int true true 0NUM_INTERSECTS int true true 1NUM_PIPS int true true 20NUM_TILE_PORTS int true true 0NUM_UPHILL_PIPS int true true 20SPEED_INDEX int true true 2232TILE_NAME string true true INT_R_X7Y47TILE_PATTERN_OFFSET int true true 0

Page 140: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 140UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章

主なプロパティの説明

プロパティ情報

この章では、 ザイ リ ンクス Vivado® Design Suite プロパティについて説明します。 各プロパティの説明には、 次の情

報が含まれます。

• 主な使用方法を含むプロパティの説明。

• プロパティをサポートする UltraScale™ を含むザイ リ ンクス FPGA アーキテクチャ (例外は注記)。

• プロパティをサポートするオブジェク ト またはデバイス リ ソース。

• プロパティに割り当て可能な値。

• Verilog、 VHDL、 XDC の構文。

• プロパティの影響を受けるデザイン フロー段階。

• 関連プロパティへの相互参照。

重要: HDL と XDC の両方でプロパティが定義されている場合は、 XDC の方が優先され、 HDL プロパティは上書き

されます。

Vivado Design Suite でのこれらのプロパティの使用に関する詳細は、 『Vivado Design Suite ユーザー ガイ ド : 制約の使

用』 (UG903) [参照 19] を参照してください。

Page 141: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 141UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

ASYNC_REG重要: ASYNC_REG と IOB の両方がレジスタに割り当てられている場合、 「IOB」 プロパティが ASYNC_REG よ り も

優先され、 レジスタが SLICE/CLB ロジッ クではなく、 ILOGIC ブロ ッ クに配置されます。

ASYNC_REG 属性は、 Vivado ツール フローのさまざまなプロセスに影響します。 ASYNC_REG では、 次が指定され

ます。

• 非同期データがソース ク ロ ッ ク と接続される D 入力ピンでレジスタが受信できる。

• レジスタが同期チェーン内の同期レジスタである。

シ ミ ュレーシ ョ ン中にタイ ミ ング違反が発生する と、 デフォルトではレジスタ エレ メン トから X または未知のス

テート (1 でも 0 でもない値) が出力されます。 この場合、 エレ メン トの駆動するものすべての入力が X と表示され、

未知のステートになり ます。 この状態のままにしておく と、 デザインの大きなセクシ ョ ンが未知になったり、 シ

ミ ュレータでこのステートから回復できないこ とがあ り ます。 ASYNC_REG では、 タイ ミ ング違反が発生しても最

後の既知の値を出力するよ うにレジスタが変更されます。

Vivado 合成では、 ASYNC_REG プロパティが 「DONT_TOUCH」 プロパティ同様に処理され、 合成済みネッ ト リ ス ト

に含められます。 これによ り、 合成でレジスタまたは周囲のロジッ クが最適化されなくな り、 デザイン フローの後

続ツールで ASYNC_REG プロパティが処理されます。

ASYNC_REG を指定する と、 最適化、 配置、 配線にも影響し、 メ タステーブルになる可能性のある MTBF (平均故障

間隔) が改善されます。 このプロパティが指定されている と、 配置ツールで同期チェーンに含まれるフ リ ップフロ ッ

プど う しが近くに配置され、 MTBF を最長にできます。 このプロパティが指定されているレジスタが直接接続され

ている場合、 互換性のある制御セッ トがあ り、 レジスタ数がスライスの使用可能な リ ソース数を超えないという想

定で、 これらのレジスタがグループにまとめられて 1 つのスライスに配置されます。

ヒン ト : UltraScale デバイスの場合、 report_synchronizer_mtbf を使用する と、 ASYNC_REG で識別された同期

レジスタの MTBF (平均故障間隔) をレポートできます。

X-Ref Target - Figure 3-1

図 3-1: クロック ド メインの同期

clk2

clk1

IBUF

IBUF1

I 0BUFG

BUFG1

I 0 clk C

CE

CLR

D

tc

FDCE

Q

-snd<0>

sender

-rcv0

receiver_1

sync 1

FDCE

C

CE

CLR

D

sync 0

FDCE

Q

C

CECLRD

Q I0

I1

0

_n0017_inv1

LUT2

IBUFI 0

BUFGI 0

en

clk

X14888-081315

Page 142: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 142UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

次は、 図 3-1 に示されている、 フ リ ップフロ ップを 2 つ使用した、 または 1 段のシンクロナイザーの Verilog 例です。

これらのレジスタは、 別のクロ ッ ク ド メ インの信号に同期します。 シンクロナイザー段で ASYNC_REG プロパティ

が TRUE に設定されています。

(* ASYNC_REG = "TRUE" *) reg sync_0, sync_1;always @(posedge clk) beginsync_1 <= sync_0;sync_0 <= en;...

ヒン ト : ASYNC_REG プロパティは、 SystemVerilog の logic 構文でも使用できます。

(* ASYNC_REG = "TRUE" *) logic sync_0, sync_1; または

(* ASYNC_REG = "TRUE" *) output logic sync_0, sync_1;

ASYNC_REG プロパティを使用する と、 レジスタが互いにできるだけ近くに配置されるよ うにグループ化されます。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• ソース RTL で宣言された信号

• インスタンシエート されたレジスタ セル (get_cells)

° レジスタ (FD、 FDCE、 FDPE、 FDRE、 FDSE)

X-Ref Target - Figure 3-2

図 3-2: レジスタのグループ化

Page 143: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 143UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

• TRUE: レジスタは同期チェーンの一部で、 インプリ メンテーシ ョ ン中も保持され、 チェーンに含まれるその他

のレジスタの近くに配置されて、 MTBF レポートに使用されます。

• FALSE: レジスタは最適化で削除されるか、 SRL、 DSP、 または RAMB などのブロ ッ クに吸収されます。 特殊な

シ ミ ュレーシ ョ ン、 配置、 配線規則は適用されません (デフォルト )。

構文

Verilog 構文

Verilog 属性はレジスタのインスタンシエーシ ョ ンまたは reg 宣言の直前に配置します。

(* ASYNC_REG = "{TRUE|FALSE}" *)

Verilog の構文例

// Designates sync_regs as receiving asynchronous data(* ASYNC_REG = "TRUE" *) reg [2:0] sync_regs;

VHDL 構文

推論されたロジッ クに対して次のよ うに VHDL 属性を宣言および指定します。

attribute ASYNC_REG : string;attribute ASYNC_REG of name: signal is "TRUE";

または、 インスタンシエート されたロジッ クに対して次のよ うに VHDL 属性を指定します。

attribute ASYNC_REG of name: label is "TRUE";

name は、 次のいずれかになり ます。

• シンクロナイザー レジスタに推論される宣言済みの信号

• インスタンシエート されたレジスタのインスタンス名

VHDL の構文例

attribute ASYNC_REG : string;signal sync_regs : std_logic_vector(2 downto 1);-- Designates sync_regs as receiving asynchronous data attribute ASYNC_REG of sync_regs: signal is "TRUE";

XDC 構文

set_property ASYNC_REG value [get_cells <instance_name>]

説明:

• <instance_name> はレジスタ セルです。

XDC の構文例

# Designates sync_regs as receiving asynchronous data

Page 144: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 144UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

set_property ASYNC_REG TRUE [get_cells sync_regs*]

影響を受けるフローの段階

• launch_xsim

• synth_design

• place_design

• route_design

• phys_opt_design

• power_opt_design

• report_drc

• write_verilog

• write_vhdl

関連項目

238 ページの 「IOB」

Page 145: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 145UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

AUTO_INCREMENTAL_CHECKPOINTAUTO_INCREMENTAL_CHECKPOINT プロパティは、 自動インク リ メンタル インプリ メンテーシ ョ ン フローをイ

ネーブルにして、 現在のデザインの以前のイテレーシ ョ ンからの配置配線を再利用するためのブール型プロパティ

です。 「INCREMENTAL_CHECKPOINT」 プロパティ と一緒に使用して、 Vivado ツールでインク リ メンタル インプリ

メンテーシ ョ ンを管理します。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : インプリ メンテーシ ョ ン』 (UG904) [参照 20] のこのセクシ ョ ンを参照してください。

ヒン ト : AUTO_INCREMENTAL_CHECKPOINT プロパティは Vivado ツールのプロジェク ト モードでしかサポート さ

れません。 非プロジェク ト モードで以前の配置配線結果read_checkpoint利用するには、 read_checkpoint

-incremental コマンドを使用します。

インク リ メンタル インプリ メンテーシ ョ ン フローには、 次の 3 つの設定があ り ます。

• 現在のデザインの以前の配置配線が自動的に再利用されるよ うにするには、

AUTO_INCREMENTAL_CHECKPOINT プロパティをイネーブルにします。

• 指定したデザイン チェッ クポイン トの以前のインプリ メンテーシ ョ ンからの配置配線データを手動で再利用す

る場合は、 AUTO_INCREMENTAL_CHECKPOINT プロパティをディ スエーブルにして、

INCREMENTAL_CHECKPOINT プロパティを使用します。

• ディ スエーブルにする と、 インク リ メンタル インプリ メンテーシ ョ ンは実行されません。 この場合は、

AUTO_INCREMENTAL_CHECKPOINT プロパティをディ スエーブルにして、 INCREMENTAL_CHECKPOINT プロパティは指定しないよ うにします。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• Vivado インプリ メンテーシ ョ ン run オブジェク ト (get_runs)

• 1: 自動インク リ メンタル インプリ メンテーシ ョ ン デザイン フローをイネーブルにします。 Vivado 配置配線

ツールで現在のデザインの以前の配置配線が再利用されるよ うになるので、 処理時間が速ま り ます。

• 0: 自動インク リ メンタル インプリ メンテーシ ョ ン デザイン フローをディ スエーブルにします。 これがデフォル

ト設定です。

構文

Verilog および VHDL 構文

該当なし

Page 146: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 146UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

XDC 構文

set_property AUTO_INCREMENTAL_CHECKPOINT 1 [get_runs <impl_run> \-filter {IS_IMPLEMENTATION}]

説明:

• <impl_run> は現在のデザインまたはプロジェク トのインプリ メンテーシ ョ ン run の名前です。

ヒン ト : インプリ メンテーシ ョ ン run のみが必要な場合は、 get_runs コマンドに -filter {IS_IMPLEMENTATION} オプシ ョ ンを使用します。

XDC の構文例

set_property AUTO_INCREMENTAL_CHECKPOINT 1 [get_runs * -filter {IS_IMPLEMENTATION}]

影響を受けるフローの段階

• インプリ メンテーシ ョ ン

関連項目

232 ページの 「INCREMENTAL_CHECKPOINT」

Page 147: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 147UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

BELBEL は、 SLICE/CLB 内または複数のセルを含む可能性のあるサイ ト内の最下位セルの配置を指定します。 通常 「LOC」 プロパティ と共に使用して、 レジスタまたは LUT の正確な配置を指定します。

重要: BEL プロパティ または制約は、 LOC プロパティ または制約よ り前に定義しておかないと、 エラーになり ます。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• セル (get_cells)

° レジスタ (FD、 FDCE、 FDPE、 FDRE、 FDSE)

° LUT (LUT1、 LUT2、 LUT3、 LUT4、 LUT5、 LUT6、 LUT6_2)

° SRL (SRL16E、 SRLC32E)

° LUTRAM (RAM32X1S、 RAM64X1S)

° コンフ ィギュレーシ ョ ン コンポーネン ト (BSCAN、 ICAP など)

• BEL = <name>

BEL のロジッ ク コンテンツによって、 BEL の名前は変わり ます。 また、 BEL 名には BEL のサイ ト名を含めるこ

と もできます。 たとえば、 BSCAN_X0Y0/BSCAN および SLICE_X1Y199/A5FF などが有効な BEL 名です。

構文

Verilog 構文

Verilog 属性をインスタンシエーシ ョ ンの直前に配置します。 推論されたレジスタの SRL または LUTRAM の reg 宣言前に配置するこ と もできます。

(* BEL = "site_name" *)

Page 148: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 148UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

Verilog の構文例

// Designates placed_reg to be placed in FF site A5FF(* BEL = "A5FF" *) reg placed_reg;VHDL Syntax

VHDL 属性は次のよ うに宣言します。

attribute BEL : string;

インスタンシエート済みインスタンスの場合は、 次のよ うに指定します。

attribute BEL of instance_name : label is "site_name";

説明:

• instance_name は LUT、 SRL、 LUTRAM などのインスタンシエート済みレジスタのインスタンス名です。

VHDL の構文例

-- Designates instantiated register instance placed_reg to be placed in FF site A5FFattribute BEL of placed_reg : label is "A5FF";

推論済みインスタンスの場合、 VHDL 属性は次のよ うに指定します。

attribute BEL of signal_name : signal is "site_name";

説明:

• signal_name は LUT、 SRL、 LUTRAM などの推論済みレジスタの信号名です。

VHDL の構文例

-- Designates instantiated register instance placed_reg to be placed in FF site A5FFattribute BEL of placed_reg : signal is "A5FF";

XDC 構文

set_property BEL site_name [get_cells instance_name]

説明:

• instance_name はレジスタ、 LUT、 SRL、 LUTRAM またはその他のセル インスタンスです。

XDC の構文例

# Designates placed_reg to be placed in FF site A5FFset_property BEL A5FF [get_cells placed_reg]

影響を受けるフローの段階

• デザインのフロアプラン

• place_design

関連項目

263 ページの 「LOC」

Page 149: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 149UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

BLACK_BOXBLACK_BOX 属性は、 すべての階層レベルをオフにし、 合成でそのモジュールまたはエンティティに対してブラ ッ

ク ボッ クスを作成できるよ うにするデバッグ用の属性です。 この属性を指定する と、 モジュールまたはエンティ

ティに対して有効なロジッ クがあったと しても、 合成ツールでそのレベルに対してブラ ッ ク ボッ クスが作成されま

す。 この属性はモジュール、 エンティティ、 コンポーネン トに設定できます。

重要: この属性は合成コンパイラに影響するので、 RTL でのみ設定可能です。

ブラ ッ ク ボッ クスのコード記述の詳細は、 『Vivado Design Suite ユーザー ガイ ド : 合成』 (UG901) [参照 18] のこのセク

シ ョ ンを参照してください。

アーキテクチャ サポート

• すべてのアーキテクチャ。

適用可能なオブジェク ト

• ソース RTL のモジュール、 エンティティ、 またはコンポーネン ト。

• YES | TRUE: モジュールまたはエンティティをブラ ッ ク ボッ クス と して表示し、 エラボレート済みまたは合成済

みデザインの一部と して展開されないよ うにします。

重要: ブラ ッ ク ボッ クス機能をオフにするには、 RTL モジュールかエンティティから BLACK_BOX 属性を削除して

ください。 単に属性を No または FALSE に設定しないでください。

構文

Verilog 構文

Verilog では、 モジュールの BLACK_BOX 属性に値を指定する必要はあ り ません。 属性を含めるこ とでブラ ッ ク ボッ

クスが定義されます。

(* black_box *) module test(in1, in2, clk, out1);

VHDL 構文

attribute black_box : string;attribute black_box of beh : architecture is "yes";

XDC 構文

該当なし

Page 151: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 151UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

BLOCK_SYNTHBLOCK_SYNTH プロパティを使用する と、 階層モジュールのインスタンスに合成プロパティを割り当てて、 グロー

バル合成をよ り詳細に制御できるよ うにな り ます。 BLOCK_SYNTH では、 同じモジュールの 2 つの異なるインスタ

ンスに異なる最適化を指定して、 グローバル合成中に処理されるよ うにできます。

BLOCK_SYNTH をインスタンスに設定する と、 そのインスタンス とその下位にあるインスタンスすべてに適用され

ます。 たとえば、 階層モジュール内にほかのモジュールが含まれている場合、 それらのモジュールも BLOCK_SYNTH プロパティの影響を受けます。 ただし、 階層モジュール内のモジュールに別の BLOCK_SYNTH プロパティを割り当てて、 設定を変更したり、 デフォルト値に戻したりするこ と もできます。

IP を使用する場合、 その IP をグローバル合成する際に、 BLOCK_SYNTH プロパティを使用できます。

重要: IP をアウ ト オブ コンテキス ト (OOC) 合成する場合は、 BLOCK_SYNTH プロパティは無視されます。

ブロ ッ ク レベル合成ス ト ラテジを使用する と、 階層レベルごとに異なる合成オプシ ョ ンを使用して ト ップダウン フローで合成できます。 制約はデザイン全体に対して指定できますが、 階層モジュールの特定インスタンスに対して

独自の制約を指定するこ と もできます。 ブロ ッ クレベル合成の詳細は、 『Vivado Design Suite ユーザー ガイ ド : 合成』 (UG901) [参照 18] のこのセクシ ョ ンを参照してください。

アーキテクチャ サポート

• すべてのアーキテクチャ。

適用可能なオブジェク ト

• 階層モジュール (get_cells)

重要: このプロパティは、 エンティティ名またはモジュール名にではなく、 セル インスタンスに設定してください。

• BLOCK_SYNTH.<option_name>: 指定したパラ メーターまたはオプシ ョ ンを使用してモジュール インスタンス

を合成するこ とを指定します。 指定可能なオプシ ョ ンのリ ス トは、 『Vivado Design Suite ユーザー ガイ ド : 合成』 (UG901) [参照 18] を参照してください。

構文

Verilog および VHDL 構文

該当なし

XDC 構文

次の構文を使用して XDC ファ イルの BLOCK_SYNTH プロパティを設定します。

set_property BLOCK_SYNTH.<option_name> <value> [get_cells <instance_name>]

Page 152: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 152UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

説明:

• <option_name>: 定義するオプシ ョ ンを指定します。

• <value>: オプシ ョ ンの値を指定します。

• <instance_name>: プロパティを適用する階層セル、 ブロ ッ ク、 または IP のインスタンス名を指定します。

たとえば、 XDC ファ イルで次を定義できます。

set_property BLOCK_SYNTH.RETIMING 1 [get_cells U1] set_property BLOCK_SYNTH.STRATEGY {AREA_OPTIMIZED} [get_cells U2] set_property BLOCK_SYNTH.STRATEGY {AREA_OPTIMIZED} [get_cells U3] set_property BLOCK_SYNTH.STRATEGY {DEFAULT} [get_cells U3/inst1]

影響を受けるフローの段階

• 合成

Page 153: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 153UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

BUFFER_TYPE重要: このプロパティはサポート されなくな り ました。 「CLOCK_BUFFER_TYPE」 および 「IO_BUFFER_TYPE」 プロ

パティに置き換えられています。

Page 154: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 154UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

CARRY_REMAPopt_design -carry_remap オプシ ョ ンを使用する と、 単一の CARRY* セルを LUT にリマップして、 デザインの

配線結果を改善できます。 -carry_remap オプシ ョ ンでは、 1 段のキャ リー チェーンのみが LUT に変換されます。

CARRY_REMAP プロパティを使用する と、 よ り長いキャ リー チェーンを最適化で変換されるよ うにできます。

CARRY_REMAP セル プロパティを使用する と、 任意の長さのキャ リー チェーンの変換を個別に制御できます。

CARRY_REMAP プロパティ値には、 LUT にマップするキャ リー チェーンの最大長を整数で指定します。

CARRY_REMAP プロパティはチェーン内の CARRY* プリ ミティブに適用し、 各セルの値を同じにしておかないと、

最適化で LUT に変換されません。

重要: キャ リー チェーンの各セルの CARRY_REMAP 値は同じにする必要があ り ます。 「DONT_TOUCH」 プロパティ

があるために、 カスケード接続されたセルの 1 つでも リマップできないと、 チェーン全体がリマップできません。

この場合は、 警告メ ッセージが表示されます。

最適化の詳細は、 『Vivado Design Suite ユーザー ガイ ド : インプリ メンテーシ ョ ン』 (UG904) [参照 20] を参照してくだ

さい。

アーキテクチャ サポート

• すべてのアーキテクチャ。

適用可能なオブジェク ト

• CARRY セル (get_cells)

• <VALUE>: opt_design の実行中に LUT に変換可能なキャ リー チェーンの長さを整数値で指定します。

° CARRY_REMAP=0: リ マップはされません。

° CARRY_REMAP=1: キャ リー チェーンには含まれない単一の CARRY セルを リマップします。

° CARRY_REMAP=2: 長さ 2 またはそれ以下のキャ リー チェーンを リマップします。

構文

Verilog および VHDL 構文

該当なし

XDC 構文

set_property CARRY_REMAP <value> <objects>

XDC の構文例

次のコマンドを使用する と、 すべての CARRY8 プリ ミ ティブに CARRY_REMAP プロパティが設定されます。

Page 155: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 155UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

set_property CARRY_REMAP 2 [get_cells -hier -filter {ref_name == CARRY8}]

影響を受けるフローの段階

• ロジッ ク最適化 (opt_design)

関連項目

194 ページの 「DONT_TOUCH」

275 ページの 「LUT_REMAP」

284 ページの 「MUXF_REMAP」

Page 156: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 156UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

CASCADE_HEIGHTCASCADE_HEIGHT 属性は、 ブロ ッ ク RAM に配置される大型 RAM のカスケード チェーンの長さを指定します。 複

数のブロ ッ ク RAM で構成される RAM を記述する と、 Vivado 合成でコンフ ィギュレーシ ョ ン方法が決定されます。

通常は、 ブロ ッ ク RAM がカスケード接続されます。 この属性は、 チェーンの長さを短く した り、 長さに制限を加え

たりするのに使用できます。 値を 0 または 1 に設定する と、 ブロ ッ ク RAM のカスケード接続がオフになり ます。

この属性は、 RTL ソース ファ イルまたは XDC ファ イル内の該当する RAM に設定して、 合成で処理されるよ うにし

ます。

アーキテクチャ サポート

UltraScale および UltraScale+ アーキテクチャ。

適用可能なオブジェク ト

• RAM セル (get_cells)

• <VALUE>: 整数を指定します。

構文

Verilog 構文

(* cascade_height = 4 *) reg [31:0] ram [(2**15) - 1:0];

VHDL 構文

attribute cascade_height : integer;attribute cascade_height of ram : signal is 4;

XDC 構文

set_property CASCADE_HEIGHT 4 [get_cells my_RAM_reg]

影響を受けるフローの段階

• 合成

Page 157: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 157UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

CELL_BLOAT_FACTORCELL_BLOAT_FACTOR プロパティを使用する と、 ホワイ ト スペースを追加で指定したり、 階層モジュール内のセル

間の配置距離を広げたりできます。 Vivado の配置では、 モジュール内のセル間のスペースを広げて、 デザインの配

線結果が改善されるよ うにな り ます。

モジュールからセルの配置が近すぎて密集の原因となっている場合は、 セル膨張を使用して、 配置段階でホワイ ト

スペースが挿入されるよ うにします。 これによ りダイの指定のエリアにおけるセル集積度が下がり、 密集が緩和さ

れて使用可能な配線リ ソースが増加します。 この手法は、 比較的高パフォーマンスのロジッ クの小さな密集したエ

リ アに使用する と特に効果的です。

ヒン ト : モジュールのセル間に未使用のロジッ クがある場合、 Vivado 配置で階層モジュール内に含まれないほかのセ

ルに使用できます。

セル膨張を使用するには、 階層セルに CELL_BLOAT_FACTOR プロパティを適用し、 値を LOW、 MEDIUM、 または HIGH に設定します。

数百個のセルのセルを含む小型のモジュールの場合は、 HIGH を設定するこ とをお勧めします。 大型のモジュールに

セル膨張を使用する と、 セルの配置が離れすぎてしま う可能性があ り ます。

重要: デバイスで使用されている配線リ ソースが既に多すぎる場合は、 セル膨張の使用はお勧めしません。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• セル (get_cells)

• LOW | MEDIUM | HIGH: 階層モジュールのセル間の相対的なスペースを指定します。

Page 158: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 158UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

構文

Verilog および VHDL 構文

該当なし

XDC 構文

set_property CELL_BLOAT_FACTOR <value> <objects>

XDC の構文例

次のコマンドを使用する と、 cpuEngine モジュールに CELL_BLOAT_FACTOR プロパティが設定されます。

set_property CELL_BLOAT_FACTOR high [get_cells { cpuEngine }]

影響を受けるフローの段階

• 配置 (place_design)

• 配線 (route_design)

Page 159: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 159UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

CFGBVSザイ リ ンクス デバイスでは 3.3V、 2.5V、 1.8V、 または 1.5V の I/O を使用したコンフ ィギュレーシ ョ ン インターフェ

イスがサポート されます。 コンフ ィギュレーシ ョ ン インターフェイスには、バンク 0 の JTAG ピン、バンク 0 の専用

コンフ ィギュレーシ ョ ン ピンが含まれるほか、 特定コンフ ィギュレーシ ョ ン モードに関連したピンが 7 シ リーズの

場合はバンク 14 と 15 に、 UltraScale アーキテクチャの場合はバンク 65 に含まれます。

バンク 0 で適切なコンフ ィギュレーシ ョ ン インターフェイス電圧がサポート されるよ うに、 I/O バンク 0 を 3.3V/2.5V で操作するには VCC_0、 1.8V/1.5V で操作するには GND に、 CFGBVS (Configuration Bank Voltage Select) ピンを設定する必要があ り ます。 CFGBVS は、 VCCO_0 と GND を参照するロジッ ク入力ピンです。 コンフ ィギュレー

シ ョ ン中、 CFGBVS ピンが VCCO_0 電源に接続されている場合は、 バンク 0 の I/O で 3.3V または 2.5V の操作がサ

ポート され、 GND に接続されている場合は、 1.8V または 1.5V の操作がサポート されます。

CFGBVS ピンの設定によ りバンク 0 の I/O 電圧サポートが常に決ま り ます。 7 シ リーズ デバイスの場合はバンク 14 およびバンク 15 が、 UltraScale アーキテクチャの場合はバンク 65 が HR バンク タイプなので、 CFGBVS ピンとそれ

に該当する 「CONFIG_VOLTAGE」 プロパティによ り、 コンフ ィギュレーシ ョ ン中にサポート される I/O 電圧が決ま

り ます。

重要: 1.8V/1.5V で I/O 操作できるよ うに CFGBVS ピンが GND に設定されている場合、ザイ リ ンクス FPGA への損傷

を避けるため、 バンク 0 への VCCO_0 電源および I/O 信号を 1.8V 以下にする必要があ り ます。

CFGBVS (Configuration Bank Voltage Select) ピンの詳細は、 『7 シ リーズ FPGA コンフ ィギュレーシ ョ ン ユーザー ガイ

ド』 (UG470) [参照 1] または 『UltraScale アーキテクチャ コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG570) [参照 7] を参照してください。

デザインの CONFIG_MODE 設定の互換性を確認するため、 Report DRC コマンドが CFGBVS および CONFIG_VOLTAGE をチェッ ク します。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• デザイン (current_design)

• VCCO: 3.3V/2.5V 操作用に I/O バンク 0 をコンフ ィギュレーシ ョ ン。

• GND: 1.8V/1.5V 操作用に I/O バンク 0 をコンフ ィギュレーシ ョ ン。

構文

Verilog および VHDL 構文

該当なし

Page 160: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 160UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

XDC 構文

set_property CFGBVS [VCCO | GND] [current_design]

XDC の構文例

# Configure I/O Bank 0 for 3.3V/2.5V operationset_property CFGBVS VCCO [current_design]

影響を受けるフローの段階

• I/O プランニング

• DRC レポート

• write_bitstream

関連項目

174 ページの 「CONFIG_MODE」

176 ページの 「CONFIG_VOLTAGE」

Page 161: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 161UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

CLOCK_BUFFER_TYPEVivado 合成では、 デフォルトではクロ ッ ク ポートに対し入力バッファーとグローバル ク ロ ッ ク バッファー (IBUF/BUFG) を組み合わせたものが推論されますが、 「IO_BUFFER_TYPE」 および CLOCK_BUFFER_TYPE プロパ

ティを共に使用して、 Vivado 合成ツールで、 デフォルト バッファー タイプを変更したり (IBUF/BUFR ペアや、

BUFIO ク ロ ッ ク バッファーで入力バッファーなしに変更するなど)、 これらのバッファーをま とめて削除したりでき

ます。

CLOCK_BUFFER_TYPE プロパティは、 指定ネッ トやポート オブジェク トに対し、 どのタイプのクロ ッ ク バッ

ファーを推論するかを指定します。 「IO_BUFFER_TYPE」 プロパティは、 ポートに対し、 入力バッファーまたは出力

バッファーのどちらを推論するかを指定します。

ヒン ト : CLOCK_BUFFER_TYPE プロパティを使用する場合は、 ターゲッ ト ネッ トに KEEP が設定されるこ とにな り

ます。 これは、 ネッ ト名を保持し、 RTL 最適化中にネッ トが削除されないよ うにするためのものです。

CLOCK_BUFFER_TYPE は RTL または XDC で定義できます。

注記: MAX_FANOUT は、 CLOCK_BUFFER_TYPE の設定されているネッ トには設定できません。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• ポート (get_ports): CLOCK_BUFFER_TYPE を最上位クロ ッ クポートに適用し、 どのタイプのクロ ッ ク バッ

ファーを使用するか、 またはクロ ッ ク バッファーを使用しないかを設定します。

• ネッ ト (get_nets): CLOCK_BUFFER_TYPE を最上位クロ ッ クポートに接続されている信号に適用し、 どのタ

イプのクロ ッ ク バッファーを使用するか、 またはクロ ッ ク バッファーを使用しないかを設定します。

• BUFG, BUFH, BUFIO, BUFMR, BUFR: ク ロ ッ ク ポート またはネッ トに推論させるクロ ッ ク バッファーを指定しま

す。

• NONE: ク ロ ッ クに対し、 ク ロ ッ ク バッファーを推論しないよ うにします。

注記: 「IO_BUFFER_TYPE」 を NONE に指定する と、 Vivado 合成でバッファーは推論されません。

Page 162: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 162UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

構文

Verilog 構文

(* clock_buffer_type = "none" *) input clk1;

VHDL 構文

entity test is port(in1 : std_logic_vector (8 downto 0);clk : std_logic;out1 : std_logic_vector(8 downto 0));attribute clock_buffer_type : string;attribute clock_buffer_type of clk: signal is "BUFR";end test;

XDC 構文

set_property CLOCK_BUFFER_TYPE BUFMR [get_nets <net_name>]

影響を受けるフローの段階

• 合成

• opt_design

関連項目 236 ページの 「IO_BUFFER_TYPE」

Page 163: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 163UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

CLOCK_DEDICATED_ROUTEデフォルトでは、 CLOCK_DEDICATED_ROUTE プロパティはイネーブル (TRUE) になっていて、 ク ロ ッ ク リ ソース

配置 DRC はエラー コンディシ ョ ンとみなされるよ うになっています。 このエラー コンディシ ョ ンは、 配線または

ビッ ト ス ト リーム生成の前に修正する必要があ り ます。 CLOCK_DEDICATED_ROUTE=FALSE の場合、 配置 DRC が警告レベルに下げられるので、 Vivado の配線プログラムでデバイス配線を使用して、 ク ロ ッ ク兼用 I/O (CCIO) を、

MMCM などのグローバル ク ロ ッ ク リ ソースに接続できます。

注意: CLOCK_DEDICATED_ROUTE を FALSE に設定する と、 ク ロ ッ ク遅延が最適なものにならない可能性があ り、

タイ ミ ング違反などの問題が発生する場合があ り ます。

外部ユーザー ク ロ ッ クは、 ク ロ ッ ク兼用入力 (CCIO) と呼ばれる差動クロ ッ ク ピン ペアを介して FPGA に供給する

必要があ り ます。 これらの CCIO は、 さまざまなクロ ッ ク供給機能のタイ ミ ングを確約するため、 内部のグローバ

ルおよびリージ ョナル ク ロ ッ ク リ ソースへの専用、 高速配線を提供します。 ク ロ ッ ク配置ルールの詳細は、 『7 シリーズ FPGA ク ロ ッキング リ ソース ユーザー ガイ ド』 (UG472) [参照 3] または 『UltraScale アーキテクチャ ク ロ ッキ

ング リ ソース ユーザー ガイ ド』 (UG572) [参照 9] を参照してください。

通常、 ターゲッ トの FPGA の専用クロ ッ ク ツ リーから クロ ッ ク配線を外したり、 標準配線チャネルを使用する と

いった目的で、 ク ロ ッ ク コンポーネン ト を配置する必要が出てきたと きに、 CLOCK_DEDICATED_ROUTE プロパ

ティは使用されます。 専用配線が使用できない場合は、 CLOCK_DEDICATED_ROUTE を FALSE に設定する と、 ク

ロ ッ ク ソースがロード ク ロ ッ ク バッファーに比べて最適ではない位置に配置されている と き、 ク ロ ッ ク配置 DRC がエラーから警告になり ます。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• グローバル ク ロ ッ ク バッファー (BUFG、 BUFGCE、 BUFGMUX、 BUGCTRL) の入力に直接接続されている

ネッ ト (get_nets)。

重要: CLOCK_DEDICATED_ROUTE は、 デザイン階層の最上位にあるネッ ト セグメン ト、 または最上位ネッ トに設

定する必要があ り ます。

Page 164: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 164UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

• 7 シ リーズ デバイス

° TRUE: デフォルトのクロ ッ ク配置および配線。

° BACKBONE: ク ロ ッ ク ド ラ イバーおよびロードが同じ CMT (ク ロ ッ ク マネージメン ト タイル) 列に配置され

ている必要があ り ます。 ク ロ ッ ク配線では、 専用グローバル ク ロ ッ ク配線リ ソースが使用されます。

° FALSE: ク ロ ッ ク ド ラ イバーおよびロードをデバイスの任意の場所に配置できます。 ク リ ッ ク ネッ トは、

グローバル ク ロ ッ ク配線リ ソースまたは標準のファブリ ッ ク配線リ ソースを使用して配線できます。 この

ため、 ク ロ ッ ク ネッ トのタイ ミ ングおよびパフォーマンスに悪影響が出る可能性があ り ます。

• UltraScale アーキテクチャ

° TRUE: デフォルトのクロ ッ ク配置および配線。

° SAME_CMT_COLUMN (BACKBONE も使用可能): ク ロ ッ ク ド ラ イバーおよびロードが同じ CMT (ク ロ ッ ク マネージメン ト タイル) 列に配置されている必要があ り ます。 ク ロ ッ ク配線では、 専用グローバル ク ロ ッ ク

配線リ ソースが使用されます。

° ANY_CMT_COLUMN: ク ロ ッ ク ド ラ イバーおよびロードを CMT 列の任意の場所に配置でき、 ネッ トでは専用

グローバル ク ロ ッ ク配線リ ソースが使用されます。 このオプシ ョ ンは、 7 シ リーズ デバイスでは使用でき

ません。

° FALSE: ク ロ ッ ク ド ラ イバーおよびロードをデバイスの任意の場所に配置できます。 ク リ ッ ク ネッ トは、

グローバル ク ロ ッ ク配線リ ソースまたは標準のファブリ ッ ク配線リ ソースを使用して配線できます。 この

ため、 ク ロ ッ ク ネッ トのタイ ミ ングおよびパフォーマンスに悪影響が出る可能性があ り ます。

構文

Verilog および VHDL 構文

該当なし

XDC 構文

set_property CLOCK_DEDICATED_ROUTE [TRUE | FALSE | BACKBONE] [get_nets net_name]

説明:

• net_name は、 グローバル ク ロ ッ ク バッファーの入力に直接接続された信号名です。

XDC の構文例

# Designates clk_net to have relaxed clock placement rulesset_property CLOCK_DEDICATED_ROUTE FALSE [get_nets clk_net]

影響を受けるフローの段階

• place_design

• report_drc

Page 166: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 166UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

CLOCK_DELAY_GROUPCLOCK_DELAY_GROUP プロパティは、 同じ MMCM または PLL ソースを持つ関連クロ ッ クを設定します。 これら

のクロ ッ クは、 配置および配線中にグループにまとめて、 ク ロ ッ ク間のタイ ミ ング パスのクロ ッ ク スキューを小さ

くする必要があ り ます。

ヒン ト : CLOCK_DELAY_GROUP を使用したクロ ッ ク一致は、 同じ PLL/MMCM からのクロ ッ クに使用するためのも

のです。

アーキテクチャ サポート

UltraScale および UltraScale+ アーキテクチャ。

適用可能なオブジェク ト

• バランス調整する必要があるグローバル ク ロ ッ ク バッファー (BUFG、 BUFGCE、 BUFGMUX、 BUGCTRL) の出力に接続されているクロ ッ ク ネッ ト (get_nets)。

• <name>: 指定クロ ッ ク ネッ トの遅延に一致するよ う、 Vivado 配置で使用される名前 (文字列)。

構文

Verilog および VHDL 構文

該当なし

XDC 構文

set_property CLOCK_DELAY_GROUP <name> [get_nets <clk_nets>]

説明:

• <name> は、 指定クロ ッ ク ネッ トに関連付けられる名前です。

• <clk_nets> は、 MMCM などの共通のセルで駆動されるグローバル ク ロ ッ ク バッファーの出力に直接接続さ

れるクロ ッ ク ネッ トの リ ス トです。

XDC の構文例

# Define a clock group to reduce skew between the nets. set_property CLOCK_DELAY_GROUP grp12 [get_nets {clk1_net clk2_net}

影響を受けるフローの段階

• place_design

• report_drc

Page 168: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 168UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

CLOCK_LOW_FANOUTCLOCK_LOW_FANOUT はブール式のプロパティで、 ロード数が小さ く、 1 つのクロ ッ ク領域に含める必要があるク

ロ ッ クに割り当てるこ とができます。 このプロパティは、 グローバル ク ロ ッ ク バッファーで駆動されるクロ ッ ク ネッ ト またはグローバル ク ロ ッ ク バッファーで駆動されるフ リ ップフロ ップのセッ トに割り当てます。

ヒン ト : グローバル ク ロ ッ ク バッファーは、 BUFGCE、 BUFGCE_DIV、 BUFGCTRL、 BUFG_GT、 BUFG_PS、 およ

び または BUFG_HDIO です。

グローバル ク ロ ッ ク バッファーで駆動されるクロ ッ ク ネッ トの CLOCK_LOW_FANOUT が TRUE の場合、ロードを 1 つのクロ ッ ク領域に含め、 グローバル ク ロ ッ ク リ ソースで駆動する必要があ り ます。 ロードは、 順次クロ ッ ク ピンだけでなく、 ク ロ ッ ク ネッ ト ワークの最下位入力ピンと しても定義できます。 たとえば、 LUT ピンはロード と し

てカウン ト されます。 ネッ トにロードが多すぎる場合、 Vivado ツールで警告メ ッセージが表示され、

CLOCK_LOW_FANOUT プロパティが無視されます。

BUFGCE グローバル ク ロ ッ ク バッファーで駆動されるフ リ ップフロ ップのセッ トの CLOCK_LOW_FANOUT が TRUE の場合、 その BUFGCE グローバル ク ロ ッ ク バッファーが複製され、 その設定のフ リ ップフロ ップのみが駆動

されます。 これらのフ リ ップフロ ップは単一のクロ ッ ク領域に配置され、 グローバル ク ロ ッ ク リ ソースで起動され

ます。

CLOCK_LOW_FANOUT プロパティは、 ほかのクロ ッ クまたは配置プロパティ と競合する可能性があ り ます。 たと

えば、 「CLOCK_DEDICATED_ROUTE」 が TRUE 以外の値で同じネッ トに指定されている場合、

CLOCK_DEDICATED_ROUTE プロパティが優先され、 「「CLOCK_DELAY_GROUP」 will take precedence over CLOCK_LOW_FANOUT if all of the members of the CLOCK_DELAY_GROUP cannot be placed in a single clock region. (CLOCK_DELAY_GROUP の対象が 1 つのクロ ッ ク領域に配置できない場合は CLOCK_LOW_FANOUT よ り も優先さ

れる )」 とい う警告メ ッセージが表示されて、CLOCK_LOW_FANOUT が無視されます。 「USER_CLOCK_ROOT」、「LOC」、 および 「PBLOCK」 プロパティ も CLOCK_LOW_FANOUT プロパティ と競合する可能性があ り ます。 これ

らのどの場合でも、 CLOCK_LOW_FANOUT が無視されて警告メ ッセージが表示されます。

アーキテクチャ サポート

UltraScale および UltraScale+ アーキテクチャ。

適用可能なオブジェク ト

• グローバル ク ロ ッ ク バッファーの出力に接続されていて、 1 つのクロ ッ ク領域にに制約する必要があるクロ ッ

ク ネッ ト (get_nets)。

• BUFGCE グローバル ク ロ ッ ク バッファーの出力に接続されたフ リ ップフロ ップ セル (get_cells)。 新しい BUFGCE グローバル ク ロ ッ ク バッファーは、 既存の BUFGCE グローバル ク ロ ッ ク バッファーと平行して複製

され、 そのロードは単一のクロ ッ ク領域に制限されます。

• TRUE: ク ロ ッ クがファンアウ トに小さいネッ トで 1 つのクロ ッ ク領域に制約する必要があ り ます。

• FALSE: ク ロ ッ クがファンアウ トに小さい信号ではなく、 1 つのクロ ッ ク領域に制約する必要があ り ません (デフォルト )。

Page 169: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 169UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

構文

Verilog および VHDL 構文

該当なし

XDC 構文

set_property CLOCK_LOW_FANOUT TRUE [get_nets <clk_nets>]set_property CLOCK_LOW_FANOUT TRUE [get_cells <ff_cells>]

説明:

• <clk_nets> は、 MMCM などの共通のセルで駆動されるグローバル ク ロ ッ ク バッファーの出力に直接接続さ

れるクロ ッ ク ネッ トの リ ス トです。

• <ff_cells> は BUFGCE グローバル ク ロ ッ ク バッファーの出力に直接接続されるフ リ ップフロ ップのリ ス ト

です。

XDC の構文例

# Define a clock group to reduce skew between the nets. set_property CLOCK_LOW_FANOUT TRUE [get_nets -of [get_pins block/myBufg/O]]

# Define a list of Flip Flops to be driven by a separate BUFGCE and placed in a single clock regionset_property CLOCK_LOW_FANOUT TRUE [get_cells block/myStartupCircuit/startup_reg[*]]

影響を受けるフローの段階

• opt_design

• place_design

• report_drc

関連項目 163 ページの 「CLOCK_DEDICATED_ROUTE」

166 ページの 「CLOCK_DELAY_GROUP」

263 ページの 「LOC」

294 ページの 「PBLOCK」

357 ページの 「USER_CLOCK_ROOT」

Page 170: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 170UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

CLOCK_REGIONCLOCK_REGION プロパティを使用する と、 UltraScale デバイスの特定のクロ ッ ク領域にクロ ッ ク バッファーを割り

当てるこ とができます。 Vivado 配置ツールでは、 このクロ ッ ク バッファーがその領域内の最適なサイ トに割り当て

られます。

重要: UltraScale デバイスの場合、 7 シ リーズ デザインのクロ ッ ク プランニングの場合と異なり、 ク ロ ッ ク バッ

ファーを特定のサイ トに固定しないよ うにして ください。 その代わり、 ク ロ ッ ク バッファーを特定の CLOCK_REGION に割り当てて、 Vivado 配置ツールでクロ ッ ク リ ソースを使用できるよ うにして、 最適なクロ ッ ク

構造を指定します。

アーキテクチャ サポート

UltraScale および UltraScale+ アーキテクチャ。

適用可能なオブジェク ト

• グローバル ク ロ ッ ク バッファー セル (get_cells)

° BUFG セル (BUFGCE、 BUFGCTRL、 BUFG_GT、 BUFGCE_DIV)

• <VALUE>: セルを配置する CLOCK_REGION を指定します。 CLOCK_REGION は、 X#Y# という名前で指定する

か、 get_clock_regions Tcl コマンドで返すこ とによ り指定します。

注記: get_clock_regions コマンドの詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 13] を参照してください。

構文

Verilog および VHDL 構文

該当なし

XDC 構文

set_property CLOCK_REGION X0Y2 [get_cells <cell>]

説明:

• <cell> は、 グローバル ク ロ ッ ク バッファーのインスタンスです。

XDC の構文例

CLOCK_RERGION のユーザー割り当ては、 次のよ うに XDC で実行できます。

set_property CLOCK_REGION X4Y6 [get_cells {sys_clk_pll/inst/clkf_buf}]

Page 171: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 171UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

影響を受けるフローの段階

• place_design

• report_drc

関連項目

161 ページの 「CLOCK_BUFFER_TYPE」

172 ページの 「CLOCK_ROOT」

Page 172: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 172UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

CLOCK_ROOT重要: CLOCK_ROOT プロパティは、 ユーザー定義可能でしたが、 読み取り専用に変更になり ました。 ユーザー定義

できるプロパティは、 USER_CLOCK_ROOT に変更になっています。

CLOCK_ROOT は読み取り専用のプロパティで、 物理デザインのグローバル ク ロ ッ ク ネッ トのクロ ッ ク ルート また

はド ライバーの現在のリ ソース割り当てを反映しています。 CLOCK_ROOT は、 Vivado 配置プログラムによって割

り当てられるクロ ッ ク ルート を反映しています。 配置配線ツールでは、 デザインでタイ ミ ングが最適になるよ うに

自動的にクロ ッ ク ルートが割り当てられます。

CLOCK_ROOT の値は、 ユーザー定義の 「USER_CLOCK_ROOT」 プロパティが定義されている場合は、 それに一致

している必要があ り ます。 USER_CLOCK_ROOT を使用する場合は、 ク ロ ッ ク ルート を手動で割り当てるこ とがで

きます。

ヒン ト : Explore 指示子を使用して Vivado 配線を実行する と、 結果を改善するためにネッ トにクロ ッ ク ルートが余分

に追加される可能性があ り ます。

アーキテクチャ サポート

UltraScale および UltraScale+ アーキテクチャ。

適用可能なオブジェク ト

• グローバル ク ロ ッ ク バッファーの出力に直接接続されるグローバル ク ロ ッ ク ネッ ト (get_nets)。

• <clock_region | pblock_name>: ターゲッ ト パーツのクロ ッ ク領域名、 または現在のデザインで定義されて

いる Pblock 名を指定します。

• <object>: 1 つまたは複数のクロ ッ ク ネッ ト、 またはネッ ト セグメン ト を指定します。

構文

該当なし

影響を受けるフローの段階

• 配置

• 配線

関連項目

161 ページの 「CLOCK_BUFFER_TYPE」

170 ページの 「CLOCK_REGION」

Page 174: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 174UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

CONFIG_MODECONFIG_MODE プロパティは、 ピン割り当て、 DRC レポート、 ビッ ト ス ト リーム生成に対し、 どのデバイス コン

フ ィギュレーシ ョ ン モードを使用するかを定義します。

重要: COMPATIBLE_CONFIG_MODES プロパティは 2013. 3 リ リースで中止とな り、 この CONFIG_MODE プロパ

ティに置き換えられています。

ザイ リ ンクス FPGA は、 特別なコンフ ィギュレーシ ョ ン ピンを使用して、 アプリ ケーシ ョ ン別のコンフ ィギュレー

シ ョ ン データまたはビッ ト ス ト リームを内部メモ リに読み込むこ とによって、 コンフ ィギュレーシ ョ ンされます。

コンフ ィギュレーシ ョ ン データパスには一般的に 2 種類あ り ます。 必要なデバイス ピンの数を最小限に抑えるため

に使用されるシ リ アル データパス、 よ り高速なコンフ ィギュレーシ ョ ン用のパラレル データパスの 2 つです。

CONFIG_MODE プロパティを使用して、 デザインに対しどのモードを使用するかを定義します。

デバイス コンフ ィギュレーシ ョ ン モードの詳細は、 『7 シ リーズ FPGA コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG470) [参照 1] または『UltraScale アーキテクチャ コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG570) [参照 7] を参

照してください。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• デザイン (current_design)

ヒント : 次の値がすべて、 すべてのアーキテクチャに適用されるわけではあ り ません。 詳細は、 『7 シ リーズ FPGA コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG470) [参照 1] または 『UltraScale アーキテクチャ コンフ ィギュレーシ ョ

ン ユーザー ガイ ド』 (UG570) [参照 7] を参照してください。

• S_SERIAL

• M_SERIAL

• S_SELECTMAP

• M_SELECTMAP

• B_SCAN

• S_SELECTMAP+READBACK

• M_SELECTMAP+READBACK

• B_SCAN+READBACK

• S_SELECTMAP32

• S_SELECTMAP32+READBACK

• S_SELECTMAP16

Page 175: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 175UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

• S_SELECTMAP16+READBACK

• SPIx1

• SPIx2

• SPIx4

• SPIx8

• BPI8

• BPI16

構文

Verilog および VHDL 構文

該当なし

XDC 構文

set_property CONFIG_MODE <value> [current_design]

<value> にはコンフ ィギュレーシ ョ ン モードを>指定します。

XDC の構文例

# Specify using Configuration Mode Serial Peripheral Interface, 4-bit widthset_property CONFIG_MODE {SPIx4} [current_design]

影響を受けるフローの段階

• I/O プランニング

• place_design

• report_drc

• write_bitstream

Page 176: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 176UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

CONFIG_VOLTAGEザイ リ ンクス デバイスでは 3.3V、 2.5V、 1.8V、 または 1.5V の I/O を使用したコンフ ィギュレーシ ョ ン インターフェ

イスがサポート されます。 コンフ ィギュレーシ ョ ン インターフェイスには、バンク 0 の JTAG ピン、バンク 0 の専用

コンフ ィギュレーシ ョ ン ピンが含まれるほか、 特定コンフ ィギュレーシ ョ ン モードに関連したピンが 7 シ リーズの

場合はバンク 14 と 15 に、 UltraScale アーキテクチャの場合はバンク 65 に含まれます。 CONFIG_VOLTAGE プロパ

ティや VCCO_0 電圧は 3.3、 2.5、 1.8、 または 1.5 に設定できます。

バンク 0 のピンの I/O 電圧サポート を決めるには、 CONFIG_VOLTAGE を正しいコンフ ィギュレーシ ョ ン電圧に設定

する必要があ り ます。 コンフ ィギュレーシ ョ ン電圧の詳細は、 『7 シ リーズ FPGA コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG470) [参照 1] または 『UltraScale アーキテクチャ コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG570) [参照 7] を参照してください。

CFGBVS ピンの設定によ りバンク 0 の I/O 電圧サポートが常に決ま り ます。 7 シ リーズ デバイスの場合はバンク 14 およびバンク 15 が、 UltraScale アーキテクチャの場合はバンク 65 が HR バンク タイプなので、 CFGBVS ピンとそれ

に該当する CONFIG_VOLTAGE プロパティによ り、 コンフ ィギュレーシ ョ ン中にサポート される I/O 電圧が決ま り

ます。

デザインで CONFIG_MODE 設定の互換性を確認するため、 Report DRC チェッ クがバンク 0、 14、 15 (7 シ リーズ)、65 (UltraScale アーキテクチャ ) で実行されます。 バンクの IOSTANDARD および CONFIG_VOLTAGE 設定に基づい

て、 DRC メ ッセージが表示されます。 コンフ ィギュレーシ ョ ン電圧は、 IBIS モデルをエクスポートする際にも使用

されます。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• デザイン (current_design)

• 1.5、 1.8、 2.5、 または 3.3

重要: UltraScale+ デバイスでは CONFIG_VOLTAG を 1.8 にする必要があ り ます。

構文

Verilog および VHDL 構文

該当なし

Page 177: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 177UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

XDC 構文

set_property CONFIG_VOLTAGE {1.5 | 1.8 | 2.5 | 3.3} [current_design]

XDC の構文例

# Configure I/O Bank 0 for 1.8V operationset_property CONFIG_VOLTAGE 1.8 [current_design]

影響を受けるフローの段階

• place_design

• report_drc

• write_bitstream

関連項目

159 ページの 「CFGBVS」

174 ページの 「CONFIG_MODE」

Page 178: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 178UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

CONTAIN_ROUTINGCONTAIN_ROUTING プロパティは、 Pblock 内に含まれる信号の配線をその Pblock で定義されるエリ ア内の配線リ

ソースに制限するために使用します。 これによ り、 Pblock 内の信号が Pblock 外に配線されるこ とはなくなるので、

デザインの再利用性が増します。

デフォルトでは、 Pblock の定義によ り Pblock に割り当てられるロジッ クの配置が Pblock で定義されたエリ ア内に制

限されます。 このプロパティには、 配線と同じ効果があ り ます。 CONTAIN_ROUTING は Pblock 専用のプロパティ

で、 XDC ファ イルで create_pblock コマンドの後に指定する必要があ り ます。

ヒン ト : CONTAIN_ROUTING は、 階層デザイン フローの OOC モジュールに関連するすべての Pblock に使用するこ

とをお勧めします。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : 階層デザイン』 (UG905) [参照 21] を参照してくだ

さい。

Pblock でしか使用されない信号のみが Pblock に含まれます。 たとえば、 Pblock 内に BUFGMUX リ ソースがない場合

は、 BUFGMUX からのパスまたは BUFGMUX へのパスは含めるこ とができません。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• PBlock (get_pblocks)

• TRUE: Pblock 内の信号の配線が Pblock 範囲で定義されたエリ アに含まれます。

• FALSE: Pblock 内に信号の配線は含まれません。 これがデフォルトです。

構文

Verilog および VHDL 構文

該当なし

Page 179: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 179UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

XDC 構文

set_property CONTAIN_ROUTING <TRUE | FALSE> [get_pblocks <pblock_name>]

説明:

• <pblock_name> には、 プロパティを設定する Pblock 名を指定します。

XDC の例

set_property CONTAIN_ROUTING true [get_pblocks pblock_usbEngine0]set_property CONTAIN_ROUTING true [get_pblocks pblock_usbEngine1]

影響を受けるフローの段階

• 配線

関連項目 207 ページの 「EXCLUDE_PLACEMENT」

294 ページの 「PBLOCK」

Page 180: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 180UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

CONTROL_SET_REMAPリ セッ トおよびクロ ッ ク イネーブルはすべてのレジスタでサポート されますが、 これらの使用が最終的なインプリ

メンテーシ ョ ンのパフォーマンス、 使用率、 および消費電力に大き く影響します。 デザインに固有の制御セッ トが

多く含まれる場合、 配置オプシ ョ ンが少なくなるので、 消費電力が増加してパフォーマンスが低下します。 こ う

いった場合に、 CONTROL_SET_REMAP プロパティをレジスタ プリ ミ ティブに設定する と、 ロジッ ク合成 (opt_design) で特定レジスタの制御セッ ト を削減できます。

ロジッ ク パスがファブリ ッ ク レジスタ (FD) のクロ ッ ク イネーブルまたは同期セッ ト / リ セッ トで終了する場合に、

レジスタにこのプロパティを指定する と、 Vivado のロジッ ク最適化でイネーブルまたはリセッ ト信号がデータ (D) ピン (専用 LUT 接続なのでよ り高速) にマップされるよ うにな り ます。 可能であれば、 ロジッ クが D 入力を駆動する

既存の LUT に統合されて、 余分なロジッ ク レベルが挿入されないよ うにな り ます。

重要: この最適化は、 レジスタに CONTROL_SET_REMAP プロパティが付いている と自動的に実行されます。

DONT_TOUCH を指定する と、 特定のセルまたは階層で最適化がされないよ うにな り ます。

制御セッ トの削減の詳細は、 『UltraFast 設計手法ガイ ド (Vivado Design Suite 用)』 (UG949) [参照 24] のこのセクシ ョ ン

を参照してください。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• セル (get_cells)

• ENABLE: EN 入力を D 入力にリマップします。

• RESET: 同期 S または R 入力を D 入力にリマップします。

• ALL: ENABLE および RESET の両方を実行します。

• NONE: 何も実行しません。 これがデフォルトで、 プロパティがセルに何も設定されない場合と同じビヘイビ

アーになり ます。

構文

Verilog および VHDL 構文

該当なし

XDC 構文

set_property CONTROL_SET_REMAP <value> [get_cells <cell_pattern>]

Page 181: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 181UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

XDC の構文例

# Specifies control set reduction based on Enable signalsset_property CONTROL_SET_REMAP ENABLE [get_cells ff*]

影響を受けるフローの段階

• opt_design

関連項目 205 ページの 「EQUIVALENT_DRIVER_OPT」

Page 182: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 182UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

DCI_CASCADEDCI_CASCADE は、 ハイ パフォーマンス (HP) I/O バンクのグループ内でのマスター /スレーブ関係を定義します。 デ

ジタル制御インピーダンス (DCI) の基準電圧は、 マスターの I/O バンクからスレーブの I/O バンクまでチェーン接続

されています。

DCI_CASCADE では、 DCI カスケード機能を使用する隣接バンクが指定され、 これらのバンク とマスター バンクで

基準抵抗が共有されます。 同じ I/O バンク列にある複数の I/O バンクが DCI を使用していて、 同じ VRN/VRP 抵抗値

を使用する場合、内部 VRN および VRP ノードをカスケード して、列で 1 ペアのピンだけを高精度の抵抗に接続する

よ うにできます。 DCI_CASCADE ではマスター バンクおよびこの機能に関連付けられているすべてのスレーブ バン

クが識別されます。 詳細は、 『7 シ リーズ FPGA SelectIO リ ソース ユーザー ガイ ド』 (UG471) [参照 2] または

『UltraScale アークテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571) [参照 8] を参照してください。

アーキテクチャ サポート

• Kintex®-7 デバイス。

• Kintex UltraScale デバイス。

• Virtex®-7 デバイス。

• Virtex UltraScale デバイス。

• 大型の Zynq®-7000 SoC デバイス。

適用可能なオブジェク ト

• I/O バンク (get_iobanks)

° High Performance (HP) バンク タイプ

有効なハイ パフォーマンス (HP) バンク番号。 詳細は、 『7 シ リーズ FPGA パッケージおよびピン配置ガイ ド』 (UG475) [参照 5] または 『UltraScale および UltraScale+ FPGA パッケージおよびピン配置ユーザー ガイ ド』 (UG575) [参照 11] を参照してください。

構文

Verilog および VHDL 構文

該当なし

XDC 構文

set_property DCI_CASCADE {slave_banks} [get_iobanks master_bank]

説明:

• slave_banks はスレーブ バンクのバンク番号のリ ス トです。

• master_bank は指定されたマスター バンクのバンク番号です。

Page 183: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 183UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

XDC の構文例

# Designate Bank 14 as a master DCI Cascade bank and Banks 15 and 16 as its slavesset_property DCI_CASCADE {15 16} [get_iobanks 14]

影響を受けるフローの段階

• I/O プランニング

• place_design

• DRC

• write_bitstream

• report_power

Page 184: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 184UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

DELAY_BYPASSDELAY_BYPASS プロパティを使用する と、ザイ リ ンクス 7 シ リーズ FPGA で BUFIO を介して遅延を削減できます。

BUFIO には BUFR の遅延と合わせる固有の遅延があ り、 これらのド メ イン間でスムースなデータ転送ができるよ う

になっています。 7 シ リーズ デバイスの場合、 このプロパティによ りその遅延がディ スエーブルになり ます。

アーキテクチャ サポート

7 シ リーズ FPGA。

適用可能なオブジェク ト

• BUFIO (get_cells)

• TRUE: 遅延バイパスをイネーブルにします。

• FALSE: 遅延バイパスをディ スエーブルにします (デフォルト )。

構文

Verilog および VHDL 構文

該当なし

XDC 構文

set_property DELAY_BYPASS TRUE [get_?cells <cells>]

説明:

• <cells> は固有の遅延をバイパスするための BUFIO セルのリ ス トです。

XDC の構文例

set_?property -name DELAY_?BYPASS TRUE [get_?cells clk_?bufio]

使用可能な段階

• タイ ミ ング解析

Page 185: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 185UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

DIFF_TERM差動終端 (DIFF_TERM) プロパティは入力および双方向ポートの差動 I/O 規格をサポート します。 ビルト インされた 100 の差動終端をイネーブル/ディ スエーブルするのに使用します。 詳細は、 『7 シ リーズ FPGA SelectIO リ ソース ユーザー ガイ ド』 (UG471) [参照 2] を参照してください。

DIFF_TERM は、 差動の入力および双方向ポート バッファーに差動終端を使用する必要があ り、 また Vivado ツール

がポートにオンチップ終端を追加する必要のあるこ とを示します。

アーキテクチャ サポート

7 シ リーズ FPGA。

推奨: UltraScale アーキテクチャ デバイスの場合は、 差動終端をイネーブルにするため、 「DIFF_TERM_ADV」 を使用

する必要があ り ます。

適用可能なオブジェク ト

• ポート (get_ports)

° 差動入力バッファーに接続された入力ポート または双方向ポート

• 次の I/O 規格のいずれかを使用しているエレ メン ト :

° LVDS、 LVDS_25、 MINI_LVDS_25

° PPDS_25

° RSDS_25

• TRUE: 差動終端はイネーブルになり ます。

• FALSE: 差動終端はディ スエーブルになり ます (デフォルト )。

Page 186: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 186UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

構文

推奨: 言語テンプレート または 『Vivado Design Suite 7 シ リーズ FPGA および Zynq-7000 SoC ライブラ リ ガイ ド』 (UG953) [参照 25] のインスタンシエーシ ョ ン テンプレート を使用して、 適切な構文を指定します。

Verilog 構文

DIFF_TERM パラ メーターをポート宣言の直前に配置します。

(* DIFF_TERM = "TRUE" *) input PORT

Verilog の構文例

// Enables differential termination on the specified port(* DIFF_TERM = "TRUE" *) input CLK;

VHDL 構文

VHDL 属性は次のよ うに宣言して指定します。

attribute DIFF_TERM : string;attribute DIFF_TERM of port_name : signal is "TRUE";

VHDL の構文例

-- Designates differential termination on the specified portattribute DIFF_TERM of CLK : signal is "TRUE";

XDC 構文

set_property DIFF_TERM TRUE [get_ports port_name]

説明:

• set_property DIFF_TERM はポート オブジェク トに割り当てるこ とができます。

• port_name は差動バッファーに接続される入力ポート または双方向ポートです。

XDC の構文例

# Enables differential termination on port named CLK_pset_property DIFF_TERM TRUE [get_ports CLK_p]

影響を受けるフローの段階

• I/O プランニング

• report_ssn

• report_power

関連項目

188 ページの 「DIFF_TERM_ADV」

Page 188: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 188UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

DIFF_TERM_ADVアドバンス差動終端 (DIFF_TERM_ADV) プロパティは UltraScale アーキテクチャでのみ使用するもので、 入力また

は双方向ポートの、 ビルト インされた 100 の差動終端をイネーブル/ディ スエーブルするために使用します。

DIFF_TERM_ADV は、 差動の入力および双方向ポート バッファーに差動終端を使用する必要があ り、 また Vivado Design Suite がポートにオンチップ終端を追加する必要のあるこ とを示します。

DIFF_TERM_ADV は入力および双方向ポートにのみ使用でき、 また適切な VCCO 電圧でしか使用できません。 100 の実効差動終端を提供するには、 I/O バンクの VCCO は、 HP I/O バンクの場合は 1.8V に接続し、 HR I/O バンクの場

合は 2.5V に接続する必要があ り ます。 詳細は、 『UltraScale アークテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571) [参照 8] を参照してください。

重要: 7 シ リーズ デザインを UltraScale アーキテクチャに移行できるよ う、 Vivado ツールでは 「DIFF_TERM」 プロパ

ティが自動的に DIFF_TERM_ADV プロパティに移行されます。 ただし、 一部のケースではこのプロパティがサポー

ト されてなかったり、 指定するべきではなかったり、 または "" (ヌル) 値を指定する必要がある場合があ り ます。

アーキテクチャ サポート

UltraScale デバイス。

適用可能なオブジェク ト

• ポート (get_ports)

° 差動入力バッファーに接続された入力ポート または双方向ポート

• 次の I/O 規格のいずれかを使用しているオブジェク ト :

° LVDS、 LVDS_25、 MINI_LVDS_25、 SUB_LVDS

° PPDS_25

° RSDS_25

° SLVS_400_25、 および SLVS_400_18

Page 189: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 189UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

• TERM_100: オンチップ差動終端で 100 を使用する。

• TERM_NONE: オンチップ差動終端を使用しません (デフォルト )。

注記: TERM_NONE 値は、 DIFF_TERM_ADV プロパティが有効なと きのデフォルト値です。 ただし、 このプロ

パティがサポート されていないと きは指定しないでください。 DIFF_TERM_ADV=TERM_NONE は DRC 違反に

なる可能性があ り ます。 このよ うな場合は、 次のコマンドを使用してヌル値 ("") を設定できます。

reset_proeprty DIFF_TERM_ADV [get_ports <port_name>]

またはset_property DIFF_TERM_ADV "" [get_ports <port_name>]

構文

Verilog および VHDL 構文

該当なし

XDC 構文

set_property DIFF_TERM_ADV TERM_100 [get_ports <port_name>]

説明:

• set_property DIFF_TERM_ADV は入力または双方向ポートに割り当てるこ とができます。

• port_name は差動バッファーに接続される入力ポート または双方向ポートです。

XDC の構文例

# Enables differential termination on port named CLK_pset_property DIFF_TERM_ADV TERM_100 [get_ports CLK_p]

影響を受けるフローの段階

• I/O プランニング

• report_drc

• report_ssn

• report_power

関連項目

185 ページの 「DIFF_TERM」

246 ページの 「IOSTANDARD」

Page 190: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 190UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

DIRECT_ENABLE入力ポート またはその他の信号に DIRECT_ENABLE を指定する と、 複数のイネーブルがある可能性がある場合や合

成ツールでフ リ ップ フロ ップのイネーブル ラインが必ず使用する必要がある場合に、 この信号をフ リ ップ フロ ップ

のイネーブル ラインに直接送信します。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

DIRECT_ENABLE 属性はポート または信号に設定できます。

• TRUE (または YES): フ リ ップ フロ ップのイネーブル ラインを使用します。

• FALSE (または NO): 合成ツールにフ リ ップ フロ ップのイネーブル ラインを使用するよ うに伝えません。 これが

デフォルトです。

構文

Verilog 例

(* direct_enable = “yes” *) input ena3;

VHDL 例

entity test is port(in1 : std_logic_vector (8 downto 0);clk : std_logic;ena1, ena2, ena3 : in std_logicout1 : std_logic_vector(8 downto 0));attribute direct_enable : string;attribute direct_enable of ena3: signal is "yes";end test;

XDC 構文

set_property direct_enable yes [get_nets –of [get_ports ena3]]

重要: XDC で使用する場合、 この属性はネッ トにのみ機能するので、 get_nets コマンドを使用してネッ ト オブ

ジェク ト を取得する必要があ り ます。

Page 191: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 191UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

影響を受けるフローの段階

• 合成

関連項目

192 ページの 「DIRECT_RESET」

212 ページの 「GATED_CLOCK」

Page 192: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 192UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

DIRECT_RESET複数のリセッ トがある可能性がある場合や合成ツールでフ リ ップ フロ ップのリセッ ト ラインが必ず使用されるよ う

にする必要がある場合、 DIRECT_RESET を入力ポート またはその他の信号に指定してそれらの信号がフ リ ップ フロ ップのリセッ ト ラインに直接送信されるよ うにします。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

DIRECT_RESET 属性はポート または信号に設定できます。

• TRUE (または YES): 合成ツールにフ リ ップ フロ ップの RESET ラインを使用するよ うに伝えます。

• FALSE (または NO): 合成ツールにフ リ ップ フロ ップの RESET ラインを使用するよ うに伝えません。 これがデ

フォルトです。

構文

Verilog 例

(* direct_reset = "yes" *) input rst3;

VHDL 例

entity test is port(in1 : std_logic_vector (8 downto 0);clk : std_logic;rst1, rst2, rst3 : in std_logicout1 : std_logic_vector(8 downto 0));attribute direct_reset : string;attribute direct_reset of rst3: signal is “yes”;end test;

XDC 構文

set_property direct_reset yes [get_nets –of [get_ports rst3]]

重要: XDC で使用する場合、 この属性はネッ トにのみ機能するので、 get_nets コマンドを使用してネッ ト オブ

ジェク ト を取得する必要があ り ます。

影響を受けるフローの段階

• 合成

Page 194: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 194UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

DONT_TOUCHDONT_TOUCH は、 ユーザー階層、 インスタンシエート済みコンポーネン ト、 または信号を最適化しないよ うに指

定するもので、 これによ りモジュールのバウンダ リ を超えて最適化が実行されたり、 オブジェク トが削除されない

よ うにします。 これでフロアプラン、 解析、 デバッグがしやすくな り ますが、 最適化が抑止されるので、 デザイン

が大き く、 遅くなってしま う こ とがあ り ます。

重要: この属性は RTL ソース ファ イルで設定するこ とをお勧めします。 XDC ファ イルが読み込まれる前に、 保持す

る必要のある信号が最適化で削除されてしま う こ とがあ り ます。 この属性を RTL で設定しておけば、 必ず適用され

ます。

DONT_TOUCH プロパティは KEEP または KEEP_HIERARCHY プロパティ と同じよ うに機能しますが、KEEP および KEEP_HIERARCHY とは異なり配置配線にフォワード アノテート されるので、 インプリ メンテーシ ョ ン中にロジッ

クが最適化で削除されるこ とはあ り ません。 さまざまなオブジェク トの DONT_TOUCH の効果は、 次のよ うにな り

ます。

• プリ ミ ティブ インスタンス : インスタンスは削除されません。 ただし、 インスタンスのピンはツールで接続また

は接続解除できます。

• 階層インスタンス : インスタンスは削除されません。 またはインスタンスのピンは追加または削除されません。

ツールでは、 ピンをツールで接続または接続解除して、 階層モジュール内のロジッ クを最適化できます。 ただ

し、 最適化では階層モジュールのロジッ クは移動できません。 これは、 インスタンスの階層境界の制約です。

ヒン ト : 階層インスタンスのすべての出力に DONT_TOUCH を付けてレジスタに入力してください。

• 階層ネッ ト : ネッ トは削除されません。 または、 ネッ トのピンは接続または接続解除されません。

ヒン ト : 階層ネッ トの場合は、 DONT_TOUCH が設定されている部分のみが保持されるので、 保持する必要のなるセ

グメン トにはすべて DONT_TOUCH を設定する必要があ り ます。

DONT_TOUCH はモジュールまたはエンティティの個別ポートには設定できません。 モジュール自体に DONT_TOUCH を付けて特定のポート を保持する必要がある場合は、 次の Vivado 合成設定を使用してください。

flatten_hierarchy = “none”

DONT_TOUCH、 KEEP、 または KEEP_HIERARCHY を使用する場合は注意が必要です。 ほかの属性が DONT_TOUCH 属性と競合する場合は、 DONT_TOUCH 属性が優先されます。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• この属性は信号、 階層モジュール、 またはプリ ミティブ インスタンスに設定できます。

° セル (get_cells)

° ネッ ト (get_nets)

Page 195: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 195UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

• FALSE: 階層を超えて最適化します。 これがデフォルト設定です。

• TRUE: 階層を超えて最適化が実行されないないよ うにして、 階層を保持します。 インスタンシエート されたコ

ンポーネン ト またはネッ トが最適化によ り削除されてしまわないよ う、 保持します。

構文

Verilog 構文

Verilog 属性をユーザーの階層インスタンシエーシ ョ ンの直前に配置します。

(* DONT_TOUCH = "{TRUE|FALSE}" *)

Verilog の構文例

// Preserve the hierarchy of instance CLK1_rst_sync(* DONT_TOUCH = "TRUE" *) reset_sync #( .STAGES(5) ) CLK1_rst_sync ( .RST_IN(RST | ~LOCKED), .CLK(clk1_100mhz), .RST_OUT(rst_clk1) );

ワイヤの例

(* dont_touch = "true" *) wire sig1;assign sig1 = in1 & in2;assign out1 = sig1 & in2;

モジュールの例

(* DONT_TOUCH = "true|yes" *) module example_dt_ver(clk,In1,In2,out1);

インスタンスの例

(* DONT_TOUCH = "true|yes" *) example_dt_ver U0 (.clk(clk), .in1(a), .in2(b), out1(c));

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute DONT_TOUCH : string;

VHDL 属性は次のよ うに指定します。

Page 196: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 196UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

attribute DONT_TOUCH of name: label is "{TRUE|FALSE}";

説明:

• name はユーザー定義のインスタンスの名前です。

VHDL の構文例

attribute DONT_TOUCH : string;-- Preserve the hierarchy of instance CLK1_rst_syncattribute DONT_TOUCH of CLK1_rst_sync: label is "TRUE";… CLK1_rst_sync : reset_sync PORT MAP ( RST_IN => RST_LOCKED, CLK => clk1_100mhz, RST_OUT => rst_clk1 );

XDC 構文

set_property DONT_TOUCH {TRUE|FALSE} [get_cells <instance_name>]set_property DONT_TOUCH {TRUE|FALSE} [get_nets <net_name>]

説明:

• instance_name は最下位セルまたは階層セルです。

• net_name は階層ネッ トの名前になり ます。

XDC の構文例

# Preserve the hierarchy of instance CLK1_rst_syncset_property DONT_TOUCH TRUE [get_cells CLK1_rst_sync]

# Preserve all segments of the hierarchical net named by the Tcl variablesset_property DONT_TOUCH [get_nets -segments $hier_net]

影響を受けるフローの段階

• synth_design

• opt_design

• phys_opt_design

• floorplanning

関連項目

253 ページの 「KEEP」

258 ページの 「KEEP_HIERARCHY」

279 ページの 「MARK_DEBUG」

Page 197: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 197UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

DQS_BIASDQS_BIAS は差動入力バッファーまたは双方向バッファー プリ ミ ティブ (IBUFDS、 IOBUFDS) のプロパティです。

DQS_BIAS 属性を使用する と、 特定の擬似差動 I/O 規格 (DIFF_SSTL) および真の差動 I/O 規格 (LVDS) の入力に DC バイアス (オプシ ョ ン) を指定できます。 バッファーを駆動するものがない場合に DQS_BIAS によ り ウ ィーク バイア

スが提供されるので、 擬似差動 I/O 規格でロジッ クのステートが不明にはならなくな り ます。

DQS_BIAS には、 一部の DQS メモ リ インターフェイス ピンに必要なプルアップ/プルダウン機能があ り ます。

推奨: DQS_BIAS はロジッ ク ファンクシ ョ ンに影響するので、 シ ミ ュレーシ ョ ンで正し くサポート されるよ うにする

には、 Verilog のパラ メーター文や VHDL の generic_map で定義しておく必要があ り ます。 ただし、 XDC プロパティ

と してもサポート されます。

DQS_BIAS は、 HP (High Performance) I/O バンクでは、 LVDS などの差動入力をサポートするために使用できます。

DQS_BIAS を使用する と、 AC カップ リ ングされた LVDS アプリ ケーシ ョ ンに DC バイアスが使用できます。詳細は、

『7 シ リーズ FPGA SelectIO リ ソース ユーザー ガイ ド』 (UG471) [参照 2] または『UltraScale アークテクチャ SelectIO リソース ユーザー ガイ ド』 (UG571) [参照 8] を参照してください。

注記: 真の差動 I/O 規格の場合は、 DQS_BIAS を HR (HR (High Range) I/O バンクに使用できません。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• ポート (get_ports)

° 差動入力バッファー : IBUFDS、 IBUFDS_IBUFDISABLE、 IBUFDS_INTERMDISABLE、 IBUFDSE3

° 差動 IO バッファー : IOBUFDS、 IOBUFDS_DCIEN、 IOBUFDS_INTERMDISABLE、 IOBUFDSE3、IBUFGDS

• TRUE: 入力または双方向バッファーで DC バイアス電圧をイネーブルにします。

• FALSE: バッファーで DQS_BIAS をディ スエーブルにします。

注記: 「EQUALIZATION」 = EQ_NONE の場合、 DQS_BIAS は FALSE にする必要があ り ます。 その他の EQUALIZATION 値 (EQ_LEVEL1、 EQ_LEVEL2...) の場合は、 DQS_BIAS に TRUE または FALSE を指定できます。

構文

Verilog 構文

インスタンシエート済みの差動バッファーに DQS_BIAS パラ メーターを割り当てます。

Page 198: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 198UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

注記: I/O バッファーを推論する際にこの属性を設定するには、 適切な Verilog 属性構文を最上位出力ポート宣言の前

に配置します。

Verilog の構文例

次の例では、 clk_ibufds という名前の IBUFDS で差動終端をイネーブルにしています。

// IBUFDS: Differential Input Buffer// Virtex UltraScale// Xilinx HDL Language Template, version 2013.4IBUFDS #(.DIFF_TERM_ADV("TERM_100"), // Differential Termination.DQS_BIAS("FALSE"), // (FALSE, TRUE).IBUF_LOW_PWR("TRUE"), //.IOSTANDARD("LVDS_25") // Specify the input I/O standard) clk_ibufds (.O(clk), // Buffer output.I(CLK_p), // Diff_p buffer input (connect directly to top-level port).IB(CLK_n) // Diff_n buffer input (connect directly to top-level port));// End of clk_ibufds instantiation

VHDL 構文

インスタンシエート済みの差動バッファーに汎用の DQS_BIAS を割り当てます。

VHDL の構文例

次の例では、 clk_ibufds という名前の IBUFDS で差動終端をイネーブルにしています。

-- IBUFDS: Differential Input Buffer-- Virtex UltraScale-- Xilinx HDL Language Template, version 2013.4clk_ibufds : IBUFDSgeneric map (DIFF_TERM_ADV => TERM_100, -- Differential TerminationDQS_BIAS => "TRUE" -- (FALSE, TRUE)IOSTANDARD => "LVDS_25")port map (O => clk, -- Buffer outputI => CLK_p, -- Diff_p buffer input (connect directly to top-level port)IB => CLK_n -- Diff_n buffer input (connect directly to top-level port));-- End of clk_ibufds instantiation

XDC 構文

XDC ファ イルでは DQS_BIAS 属性を次のよ うな構文で使用します。

set_property DQS_BIAS [TRUE | FALSE] [get_cells <instance_name>]

説明:

• set_property DQS_BIAS は、 入力または双方向ポート、 も し くは差動バッファーに割り当てるこ とができま

す。

• <instance_name> は、 入力または双方向差動バッファー インスタンスです。

Page 199: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 199UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

XDC の構文例

# Enable DQS_BIAS on the specified buffer set_property DQS_BIAS TRUE [get_cells clk_ibufds]

影響を受けるフローの段階

• 合成

• シ ミ ュレーシ ョ ン

関連項目

203 ページの 「EQUALIZATION」

Page 200: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 200UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

DRIVEプログラマブル出力駆動電流をサポートする I/O 規格でコンフ ィギュレーシ ョ ンされた出力バッファーに対し、 出力

バッファーの駆動電流を mA で指定します。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• ポート (get_ports)

° 出力バッファーに接続された出力または双方向ポート

整数値:

• 2

• 4

• 6

• 8

• 12 (デフォルト )

• 16

• 24 (UltraScale アーキテクチャにはこの値は使用できません。 )

構文

Verilog 構文

推論されてインスタンシエート された出力バッファーの場合、 適切な Verilog 属性構文を最上位出力ポート宣言の前

に配置します。

(* DRIVE = "{2|4|6|8|12|16|24}" *)

Verilog の構文例

// Sets the drive strength on the STATUS output port to 2 mA(* DRIVE = "2" *) output STATUS,

VHDL 構文

推論されてインスタンシエート された出力バッファーの場合、 適切な VHDL 属性構文を最上位出力ポート宣言の前

に配置します。

VHDL 属性は次のよ うに宣言して指定します。

Page 201: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 201UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

attribute DRIVE : integer;attribute DRIVE of port_name : signal is value;

説明:

• port_name は最上位出力ポートです。

VHDL の構文例

STATUS : out std_logic;attribute DRIVE : integer;-- Sets the drive strength on the STATUS output port to 2 mAattribute DRIVE of STATUS : signal is 2;

XDC 構文

set_property DRIVE value [get_ports port_name]

XDC の構文例

# Sets the drive strength of the port STATUS to 2 mAset_property DRIVE 2 [get_ports STATUS]

影響を受けるフローの段階

• I/O プランニング

• ノ イズ レポート

• 消費電力レポート

関連項目

『Vivado Design Suite 7 シ リーズ FPGA および Zynq-7000 SoC ライブラ リ ガイ ド』 (UG953) [参照 25] または『UltraScale アーキテクチャ ライブラ リ ガイ ド』 (UG974) [参照 26] にある次のデザイン エレ メン ト を参照してください。

• OBUF

• OBUFT

• IOBUF

Page 202: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 202UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

EDIF_EXTRA_SEARCH_PATHSこのプロパティでは、 Vivado Design Suite の現在のファイルセッ トで検索パスを定義して、 デザインで参照される EDIF ファ イルが検索されるよ うにできます。

ヒン ト : Vivado Design Suite でブラ ッ ク ボッ クスに関連する EDIF ネッ ト リ ス トが検出できなかった場合は、 インプリ

メンテーシ ョ ンに次のよ うなエラー メ ッセージが表示されます。 これは、 EDIF_EXTRA_SEARCH_PATHS: を定義

する と修正できます。 "ERROR: [Opt 31-30] Blackbox module11 is driving pin I of primitive cell OBUF_inst. The blackbox cannot be found in the existing library."

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• ソース ファ イルセッ ト (current_fileset)

• <path_to_edif_file>: 現在のファイルセッ トで使用される EDIF ファ イルを検出できるよ うに Vivado ツー

ルの検索パスを指定します。

構文

Verilog および VHDL 構文

該当なし

XDC 構文

set_property EDIF_EXTRA_SEARCH_PATHS <path_to_edif_file> [current_fileset]

XDC の構文例

# Specifies search path for EDIF filesset_property EDIF_EXTRA_SEARCH_PATHS C:/Data/Design1/EDIF [current_fileset]

影響を受けるフローの段階

• link_design

• opt_design

Page 203: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 203UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

EQUALIZATIONEQUALIZATION プロパティでは、 差動レシーバーに特定の I/O 規格をインプリ メン ト して、 伝送ラインでの周波数

に依存した減衰を回避できます。

リ ニア レシーバーのイコライゼーシ ョ ンではレシーバーで AC ゲインを提供し、 伝送ラインでの高周波ロスを補正

します。

ヒン ト : レシーバーのイコライゼーシ ョ ンは、 ト ランス ミ ッ ターの 「PRE_EMPHASIS」 と組み合わせる と、 全体的な

シグナル インテグ リティを向上できます。

アーキテクチャ サポート

UltraScale デバイス。

適用可能なオブジェク ト

• ポート (get_ports)

重要: EQUALIZATION の値は特に調整されていません。 デザインで使用されている周波数と伝送ラインに対して最

適な設定になるよ う、 シ ミ ュレーシ ョ ンを実行するこ とを推奨します。 場合によっては、 イコライゼーシ ョ ン レベ

ルが低い方が結果が良くなるこ とがあ り ます。 イコライゼーシ ョ ンのレベルを上げ過ぎる と、 信号の質を改善する

よ り も悪化させるこ とがあ り ます。

次に、 EQUALIZATION 属性に使用できる値を示します。

• HP I/O バンク

° EQ_LEVEL0

° EQ_LEVEL1

° EQ_LEVEL2

° EQ_LEVEL3

° EQ_LEVEL4

° EQ_NONE (デフォルト )

• HR I/O バンク

° EQ_LEVEL0、 EQ_LEVEL0_DC_BIAS

° EQ_LEVEL1、 EQ_LEVEL1_DC_BIAS

° EQ_LEVEL2、 EQ_LEVEL2_DC_BIAS

° EQ_LEVEL3、 EQ_LEVEL3_DC_BIAS

° EQ_LEVEL4、 EQ_LEVEL4_DC_BIAS

Page 204: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 204UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

° EQ_NONE (デフォルト )

構文

Verilog および VHDL 構文

該当なし

XDC 構文

XDC ファ イルでは EQUALIZATION 属性を次のよ うな構文で使用します。

set_property EQUALIZATION value [get_ports port_name]

説明:

• set_property EQUALIZATION は入力バッファーでのリニア イコライゼーシ ョ ンをイネーブルにします。

• <Value> には指定のポートに対しサポート されている EQUALIZATION 値の 1 つが入り ます。

• port_name は差動バッファーに接続される入力ポート または双方向ポートです。

関連項目 277 ページの 「LVDS_PRE_EMPHASIS」

306 ページの 「PRE_EMPHASIS」

Page 205: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 205UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

EQUIVALENT_DRIVER_OPTロジッ ク最適化 (opt_design) に -merge_equivalent_drivers オプシ ョ ンを使用する と、 論理的に等価の信号す

べてのド ライバーが 1 つのド ライバーに統合されます。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : インプリ メン

テーシ ョ ン』 (UG904) [参照 20] のこのセクシ ョ ンを参照してください。

EQUIVALENT_DRIVER_OPT セル プロパティを使用する と、 opt_design を実行したと きに、 等価ネッ トおよびド

ライバーを統合するかど うかを指定できます。

• 元のド ライバーおよびその複製ド ライバーに対して EQUIVALENT_DRIVER_OPT プロパティを MERGE に設定

する と、 opt_design の実行時に等価ド ライバーの統合フェーズが実行され、 設定された論理的に等価なド ラ

イバーが統合されます。

• 元のド ライバーおよびその複製ド ライバーに対して EQUIVALENT_DRIVER_OPT プロパティを KEEP に設定す

る と、 等価ド ライバーの統合および制御セッ トの統合は実行されません。 実行されないのは指定したド ライ

バーのみで、 それ以外の等価ド ライバーは残りのデザインで統合されます。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• セル (get_cells)

• MERGE: 指定したセルでのみ同等のド ライバーが最適化で統合されます。

• KEEP: 指定したセルでは同等のド ライバーが最適化で統合されませんが、 それ以外は残りのデザインで統合さ

れます。

構文

Verilog および VHDL 構文

該当なし

Page 206: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 206UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

XDC 構文

set_property EQUIVALENT_DRIVER_OPT < MERGE | KEEP > [get_cells <instance>]

XDC の構文例

# Specifies to MERGE equivalent drivers on the specified cellsset_property EQUIVALENT_DRIVER_OPT MERGE [get_cells U0/mem_reg_mux_sel_reg_0*]

影響を受けるフローの段階

• opt_design

関連項目 180 ページの 「CONTROL_SET_REMAP」

Page 207: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 207UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

EXCLUDE_PLACEMENTEXCLUDE_PLACEMENT は、 Pblock で定義されたエリ ア内のデバイス リ ソースを Pblock に含まれるロジッ クにのみ

使用するこ とを示すためのプロパティです。

デフォルトでは、 Vivado 配置ツールで Pblock に割り当てられないロジッ クを Pblock で予約された リ ソース範囲内に

配置できます。 このプロパティを使用する とそれができなくな り、 Pblock 用にロジッ ク リ ソースが予約されます。

ヒン ト : これは Pblock のロジッ ク リ ソースを限定するだけで、 外部のロジッ クでは、 Pblock で定義されたエリ ア内

の配線リ ソースがまだ使用できます。

アーキテクチャ サポート

すべてのデバイス。

適用可能なオブジェク ト

• Pblock (get_pblocks)

• TRUE: Pblock 内のデバイス ロジッ ク リ ソースを Pblock に割り当てられたロジッ クで使用されるよ うに予約し、

それ以外の外部ロジッ クには配置されないよ うにします。

• FALSE: Pblock 内でロジッ ク リ ソースは予約されません。

構文

Verilog および VHDL 構文

該当なし

XDC 構文

set_property EXCLUDE_PLACEMENT TRUE [get_pblocks test]

影響を受けるフローの段階

• フロアプラン

• 配置

関連項目

178 ページの 「CONTAIN_ROUTING」

294 ページの 「PBLOCK」

Page 208: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 208UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

FSM_ENCODINGFSM_ENCODING は、 合成中のステート マシンのエンコード方法を指定します。

デフォルトでは、 デザインに対しベス ト なソ リ ューシ ョ ンを決める内部アルゴ リズムに基づいて、 Vivado 合成ツー

ルがステート マシンのエンコーディング プロ ト コルを選択します。 ただし、 FSM_ENCODING プロパティを使用す

る場合は、 ユーザーがステート マシンのエンコーディングを指定できます。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• ステート マシン レジスタ

• AUTO: FSM_ENCODING を指定しない場合、 これがデフォルトです。 Vivado 合成ツールで最適なステート マシ

ン エンコーディング方式が決定されるよ うにな り ます。 同じデザインでもステート マシン レジスタが異なる

と、 別のエンコーディング スタイルが使用されるこ とがあ り ます。

• ONE_HOT

• SEQUENTIAL

• JOHNSON

• GRAY

• NONE: Vivado 合成ツール内で指定したステート マシン レジスタのステート マシン エンコーディングがディ ス

エーブルになり ます。 この場合、 ステート マシンはロジッ ク と して合成されます。

Verilog 構文

(* fsm_encoding = "one_hot" *) reg [7:0] my_state;

VHDL 構文

type count_state is (zero, one, two, three, four, five, six, seven);signal my_state : count_state;attribute fsm_encoding : string;attribute fsm_encoding of my_state : signal is "sequential";

XDC 構文

該当なし

影響を受けるフローの段階

• 合成

Page 210: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 210UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

FSM_SAFE_STATE この属性は RTL と XDC の両方で設定できます。

Vivado 合成は、 「FSM_ENCODING」 プロパティ または Vivado 合成の -fsm_extraction コマンド ライン オプシ ョ

ンで指定されるさまざまなコンフ ィギュレーシ ョ ンで、 有限ステート マシン (FSM) の抽出をサポート しています。

詳細は、 『Vivado Design Suite ユーザー ガイ ド : 合成』 (UG901) [参照 18] を参照してください。

ステート マシンは、 デザインがエラーとなる無効なステート、 または到達不可能なステートに遷移するこ とがあ り

ます。 FSM_SAFE_STATE を使用する と、 ステート マシンが無効なステートに遷移したこ とを検出し、次のクロ ッ ク サイクルで既知のステートに遷移させるロジッ クがステート マシンに挿入されます。 FSM が無効なステートに遷移

した場合、 Vivado 合成で FSM が合成される と きに FSM_SAFE_STATE プロパティによ り使用される回復ステートが

定義されます。

ヒン ト : このプロパティは、 FSM ステート を安全に回復する一方で、 合成結果の質に影響し、 エ リ アが大き くなって

パフォーマンスが低下するこ とがあ り ます。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• ステート マシン レジスタ。

• reset_state: Vivado 合成で指定されているよ うに RESET ステート までステート マシンを再実行します。

• power_on_state: Vivado 合成で指定されているよ うに POWER_ON ステート までステート マシンを再実行し

ます。

• default_state: ステート マシンで定義されているよ うに、 ステート マシンをデフォルト ステートに戻しま

す。 そのステートに到達できない場合でも、 1 ビッ ト /フ リ ップの Hamming-2 エンコード検出を使用して戻しま

す。

• auto_safe_state: Hamming-3 エンコーディングを暗示します。

構文

Verilog 例

(* fsm_safe_state = "reset_state" *) reg [2:0] state; (* fsm_safe_state = "reset_state" *) reg [7:0] my_state;

VHDL 例

type count_state is (zero, one, two, three, four, five, six, seven);signal my_state : count_state;

Page 211: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 211UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

attribute fsm_safe_state : string;attribute fsm_safe_state of my_state : signal is "power_on_state";

XDC の例

set_property fsm_safe_state reset_state [get_cells state_reg*]

影響を受けるフローの段階

• 合成

関連項目

208 ページの 「FSM_ENCODING」

Page 212: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 212UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

GATED_CLOCK GATED_CLOCK プロパティを使用する と、 Vivado 合成でゲーテッ ド ク ロ ッ クの変換が実行できます。 ク ロ ッ ク ゲーティング ロジッ クを変換して、 フ リ ップフロ ップ イネーブル ピンを使用可能な場合は使用してください。 この

最適化によ り ロジッ クが削除され、 ネッ ト リ ス トが簡略化されます。

この RTL 属性ではゲーテッ ド ロジッ クでクロ ッ ク となる信号を指定します。 この属性は、 ク ロ ッ ク信号またはク

ロ ッ ク ポートに設定できます。

この属性は RTL でのみ設定できます。

注記: また、 Vivado 合成ツールでオプシ ョ ンを使用して、 変換が実行されるよ う指定するこ と もできます。

synth_design -gated_clock_conversion

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• ク ロ ッ ク入力ポート

• ク ロ ッ ク信号

• FALSE: ゲーテッ ド ク ロ ッ クの変換をディ スエーブルにします。

• TRUE: GATED_CLOCK 属性が RTL コードで設定されている場合に、 ゲーテッ ド ク ロ ッ クの変換を実行します。

この設定では、 結果をよ り制御できます。

• AUTO: 次のいずれかの条件が満たされる場合に、 ゲーテッ ド ク ロ ッ クの変換を実行します。

° GATED_CLOCK プロパティが TRUE に設定されている。

° Vivado 合成でゲートが検出され、 有効なクロ ッ ク制約セッ トがある。 この設定では、 ツールで自動的に判

断されます。

構文

Verilog 例

(* gated_clock = "true" *) input clk;

VHDL 例

entity test is port (

in1, in2 : in std_logic_vector(9 downto 0);

en : in std_logic;

clk : in std_logic;

Page 213: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 213UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

out1 : out std_logic_vector( 9 downto 0));

attribute gated_clock : string;

attribute gated_clock of clk : signal is "true";

end test;

XDC の例

該当なし。

影響を受けるフローの段階

• 合成

Page 214: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 214UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

GENERATE_SYNTH_CHECKPOINTデフォルトでは、 Vivado Design Suite は、 アウ ト オブ コンテキス ト (OOC) デザイン フローを使用して、 Vivado IP カタログからの IP コアおよび Vivado IP インテグレーターからのブロ ッ ク デザインを合成します。 OOC フローでは、

合成結果をデザイン チェッ クポイン ト (DCP) ファ イルに保存できるので、 デザイン サイクル時間を短縮し、 デザイ

ンの反復作業を省く こ とができます。 GENERATE_SYNTH_CHECKPOINT プロパティは、 合成後のチェッ クポイン

ト を生成する と きに、出力ファイルを関連 IP ファ イル (XCI) 用にするか、ブロ ッ ク デザイン (BD) ファ イル用にする

かを設定します。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 16] のこのセク

シ ョ ンまたは『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 27] のこのセクシ ョ ンを参照してください。

Vivado Design Suite では IP またはブロ ッ ク デザインの出力ファイルを生成する と きに、 アウ ト オブ コンテキス ト (OOC) デザインフローをサポートするために必要な合成済みデザイン チェッ クポイン ト ファ イル (DCP) が自動的に

生成されます。 OOC モジュールは、 合成されたデザインが開き、 すべての OOC チェッ クポイン トが統合されるま

で、 最上位デザインでブラ ッ ク ボッ クス と して表示されます。

重要: Vivado インプリ メンテーシ ョ ンでは、 IP および BD の DCP からネッ ト リ ス ト を抽出して、 ブラ ッ ク ボッ クス

が解決されます。

ブロ ッ ク デザイン ファ イル (.bd) では、 「SYNTH_CHECKPOINT_MODE」 プロパティはブロ ッ ク デザインの DCP の合成方法を指定します。 デフォルトでは、 ブロ ッ ク デザインは IP ごとにアウ ト オブ コンテキス トで合成されます

が、 SYNTH_CHECKPOINT_MODE プロパティを設定する と、 このデフォルト モードを変更できます。

含まれている IP または BD の出力ファイルを生成する と き、 合成デザイン チェッ クポイン ト (DCP) の作成を含む OOC フローを使用するかど うか、 または IP を最上位デザインの一部と してグローバルに合成するか決定できます。

GENERATE_SYNTH_CHECKPOINT プロパティを FALSE または 0 に設定する と、 OOC フローをディ スエーブルに

し、 指定されている XCI または BD ファ イルの合成済み DCP 出力ファイルが生成されないよ うにできます。

このプロパティは、 何らかの理由で IP がロッ ク されている場合のみ、 読み取り専用になり ます。 この場合は、

Vivado IDE で [Report] → [Report IP Status] をク リ ッ クするか、 report_ip_status という Tcl コマンドを実行して、 IP がロッ ク されている理由を確認します。 DCP を生成するには、 Vivado IP カタログの最新バージ ョ ンに IP をアップグ

レード しておく必要があ り ます。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 16] のこのセクシ ョ ンを参照してください。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• IP ファ イル (XCI) またはブロ ッ ク デザイン ファ イル (BD)

• (get_files)

• TRUE: OOC デザイン フローをイネーブルにするため、 IP またはブロ ッ ク デザインの出力ファイルの一部と し

て、 合成デザイン チェッ クポイン ト (DCP) を生成します (デフォルト )。

Page 215: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 215UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

• FALSE: 合成 DCP を生成せず、 OOC フローをディ スエーブルにします。

構文

Verilog および VHDL 構文

該当なし

XDC 構文

set_property GENERATE_SYNTH_CHECKPOINT {TRUE | FALSE} [get_files <filename>]

説明:

• <filename> は IP (XCI) またはブロ ッ ク デザイン (BD) のファイル名です。

XDC の構文例

set_property GENERATE_SYNTH_CHECKPOINT false [get_files char_fifo.xci]

ヒン ト : XCI または BD 以外のファイルで、 オブジェク トに GENERATE_SYNTH_CHECKPOINT を割り当てたり クエ

リ しよ う とする と、 警告が表示されます。

影響を受けるフローの段階

• 合成

• インプリ メンテーシ ョ ン

関連項目

344 ページの 「SYNTH_CHECKPOINT_MODE」

Page 216: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 216UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

H_SET および HU_SET階層セッ トは、 HDL ソース ファ イルで定義されているデザインの階層に基づいてまとめられたロジッ ク エレ メン ト

の集合体です。 H_SET、 HU_SET、 および U_SET は HDL デザイン ソース ファ イル内の属性で、 合成されたデザイ

ンやインプリ メン ト されたデザインには現われません。 これらは、 RPM (Relatively Placed Macro) を RTL デザインで

定義する と きに使用されます。 これらのプロパティの使用および RPM の定義の詳細は、 『Vivado Design Suite ユー

ザー ガイ ド : 制約の使用』 (UG903) [参照 19] を参照してください。

デザインの階層にあるロジッ ク セルに RLOC プロパティが設定されている と、 H_SET は暗示的に使用されます。 階

層ブロッ ク内のロジッ ク エレ メン トで、 RLOC プロパティが設定されているものは、 同じ階層セッ ト (H_SET) に自

動的に割り当てられます。

各階層モジュールには、 モジュールのインスタンス名に基づいて H_SET プロパティが割り当てられます。 各階層モ

ジュールに H_SET 名は 1 つしかない場合があ り、 またその階層内のすべてのロジッ ク エレ メン トは、 その H_SET のエレ メン トにな り ます。

注記: HU_SET または U_SET が定義されずに RLOC が定義されている場合のみ、 H_SET が定義されます。

また、 デザインの階層に依存しないユーザー定義階層セッ ト (HU_SET) またはユーザー定義セッ ト (U_SET) を手動

で作成できます。

1 つの階層モジュールに対し複数の HU_SET 名を定義し、 特定階層のインスタンスを特定 HU_SET に割り当てるこ

とができます。 これで、 1 つの階層モジュールのロジッ ク エレ メン ト を複数の HU_SET に分けるこ とができます。

重要: H_SET または HU_SET を使用している場合、 合成されたデザインで RPM の階層を保持するには、 Vivado 合成

で KEEP_HIERARCHY プロパティ も必要になり ます。

RTL ソース ファ イルに RLOC も含まれる場合は、 H_SET、 HU_SET、 U_SET プロパティは、 合成後ネッ ト リ ス トで

セルに対する読み取り専用の RPM プロパティに変換されます。 Vivado Design Suite のテキス ト エディ ターで RTL ソース ファ イルを開く と、 HU_SET および U_SET が表示されますが、 セル オブジェク トの [Properties] ビューでは RPM プロパティが表示されます。

アーキテクチャ サポート

すべてのアーキテクチャ。

Page 217: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 217UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

適用可能なオブジェク ト

HU_SET プロパティは、 次のデザイン エレ メン ト またはそのカテゴ リーで使用できます。 特定デザイン エレ メン ト

の詳細は、 『Vivado Design Suite 7 シ リーズ FPGA および Zynq-7000 SoC ライブラ リ ガイ ド』 (UG953) [参照 25] または

『UltraScale アーキテクチャ ライブラ リ ガイ ド』 (UG974) [参照 26] を参照してください。

• レジスタ

• LUT

• マクロ インスタンス

• RAMS

• RAMD

• RAMB18/FIFO18

• RAMB36/FIFO36

• DSP48

• <NAME>: HU_SET の名前。

構文

Verilog 構文

これは、 合成後ネッ ト リ ス トで RPM を定義する階層ブロッ クのセッ トの内容を定義するため、 RLOC プロパティ と

組み合わせた Verilog 構文です。 Verilog 属性はロジッ ク エレ メン トのインスタンシエーシ ョ ン直前に配置します。

(* RLOC = "X0Y0", HU_SET = "h0" *) FD sr0 (.C(clk), .D(sr_1n), .Q(sr_0));

Verilog 例

これは、 モジュールでのシフ ト レジスタ フ リ ップフロ ップの RLOC および HU_SET プロパティを定義する Verilog モジュールです。

module ffs ( input clk, input d, output q );

wire sr_0, sr_0n; wire sr_1, sr_1n; wire sr_2, sr_2n; wire sr_3, sr_3n; wire sr_4, sr_4n; wire sr_5, sr_5n; wire sr_6, sr_6n; wire sr_7, sr_7n; wire inr, inrn, outr;

Page 218: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 218UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

inv i0 (sr_0, sr_0n); inv i1 (sr_1, sr_1n); inv i2 (sr_2, sr_2n); inv i3 (sr_3, sr_3n); inv i4 (sr_4, sr_4n); inv i5 (sr_5, sr_5n); inv i6 (sr_6, sr_6n); inv i7 (sr_7, sr_7n); inv i8 (inr, inrn);

(* RLOC = "X0Y0", HU_SET = "h0" *) FD sr0 (.C(clk), .D(sr_1n), .Q(sr_0)); (* RLOC = "X0Y0", HU_SET = "h0" *) FD sr1 (.C(clk), .D(sr_2n), .Q(sr_1)); (* RLOC = "X0Y1", HU_SET = "h0" *) FD sr2 (.C(clk), .D(sr_3n), .Q(sr_2)); (* RLOC = "X0Y1", HU_SET = "h0" *) FD sr3 (.C(clk), .D(sr_4n), .Q(sr_3)); (* RLOC = "X0Y0", HU_SET = "h1" *) FD sr4 (.C(clk), .D(sr_5n), .Q(sr_4)); (* RLOC = "X0Y0", HU_SET = "h1" *) FD sr5 (.C(clk), .D(sr_6n), .Q(sr_5)); (* RLOC = "X0Y1", HU_SET = "h1" *) FD sr6 (.C(clk), .D(sr_7n), .Q(sr_6)); (* RLOC = "X0Y1", HU_SET = "h1" *) FD sr7 (.C(clk), .D(inrn), .Q(sr_7)); (* LOC = "SLICE_X0Y0" *) FD inq (.C(clk), .D(d), .Q(inr)); FD outq (.C(clk), .D(sr_0n), .Q(outr));

assign q = outr; endmodule // ffs

先ほどの例では、 ffs モジュールのインスタンスに KEEP_HIERARCHY プロパティを指定して、 階層を保持し、 合成

されたデザインで RPM を定義する必要があ り ます。

module top ( input clk, input d, output q );

wire c1, c2;

(* KEEP_HIERARCHY = "YES" *) ffs u0 (clk, d, c1); (* KEEP_HIERARCHY = "YES" *) ffs u1 (clk, c1, c2); (* KEEP_HIERARCHY = "YES" *) ffs u2 (clk, c2, q);

endmodule // top

Page 219: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 219UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute HU_SET : string;

VHDL 制約は次のよ うに指定します。

attribute HU_SET of {component_name | entity_name | label_name} : {component|entity|label} is "NAME";

説明:

• {component_name | entity_name | label_name} はデザイン エレ メン トです。

• {component|entity|label} は指定したデザイン エレ メン トのインスタンス ID です。

• "NAME" には HU_SET の名前を指定します。

XDC 構文

HU_SET プロパティは XDC 制約を使用しては定義できません。HU_SET プロパティ と RLOC がロジッ ク エレ メン ト

に設定されている場合は、 RPM が定義され、 合成済みデザインのネッ ト リ ス トに読み取り専用の RPM プロパティ

が設定されます。

ヒン ト : デザインで RPM のよ うに動作するマクロ オブジェク ト を Vivado Design Suite で定義するには、

create_macro または update_macro を使用します。 このコマンドの詳細は、 『Vivado Design Suite Tcl コマンド リファレンス ガイ ド』 (UG835) [参照 13] を参照してください。

影響を受けるフローの段階

• デザインのフロアプラン

• place_design

• synth_design

関連項目

258 ページの 「KEEP_HIERARCHY」

324 ページの 「RLOC」

328 ページの 「RLOCS」

330 ページの 「RLOC_ORIGIN」

335 ページの 「RPM」

347 ページの 「U_SET」

Page 220: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 220UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

HIODELAY_GROUPHIODELAY_GROUP は IDELAYCTRL コンポーネン ト を関連する IDELAY または ODELAY インスタンス と共にグ

ループにまとめ、 配置および複製が正し く実行されるよ うにするプロパティです。

HIODELAY_GROUP を使用して IDELAYCTRL にグループ名を割り当てる場合は、 同じ HIODELAY_GROUP プロパ

ティを使用してそのグループに IDELAY または ODELAY セルも関連付ける必要があ り ます。

重要: HIODELAY_GROUP には複数のセルを含めるこ とができますが、 1 つのセルに 1 つの HIODELAY_GROUP しか

割り当てられません。

次の例は、 set_property を使用して、 特定の IDELAYCTRL に関連付けられている IDELAY/ODELAY エレ メン ト

をすべてグループにまとめています。

set_property HIODELAY_GROUP IO_DLY1 [get_cells MY_IDELAYCTRL_inst]set_property HIODELAY_GROUP IO_DLY1 [get_cells MY_IDELAY_inst]set_property HIODELAY_GROUP IO_DLY1 [get_cells MY_ODELAY_inst]

HIODELAY_GROUP と IODELAY_GROUP の相違点

HIODELAY_GROUP の名前は階層ごとに決められていますが、 IODELAY_GROUP の名前は階層を越えてもそのまま

使用できます。 HIODELAY_GROUP は、 次の場合に使用します。

• IDELAYCTRL を含むモジュールのインスタンスが複数ある

• 指定インスタンスを、 ほかの論理階層にある IDELAY または ODELAY インスタンス とま とめるつも りがない。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• セル (get_cells)

° IDELAY、 ODELAY、 または IDELAYCTRL インスタンス

指定したグループ名

構文

Verilog 構文

Verilog 属性は IDELAY、 ODELAY、 または IDELAYCTRL のインスタンシエーシ ョ ン直前に配置します。

(* HIODELAY_GROUP = "value" *)

Page 221: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 221UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

Verilog の構文例

// Specifies a group name of DDR_INTERFACE to an instantiated IDELAYCTRL// IDELAYCTRL: IDELAYE2/ODELAYE2 Tap Delay Value Control// Virtex-7// Xilinx HDL Language Template, version 2014.1// Specifies DDR_INTERFACE group name for IDELAYs/ODELAYs and IDELAYCTRL (* HIODELAY_GROUP = “DDR_INTERFACE” *) IDELAYCTRL DDR_IDELAYCTRL_inst ( .RDY(), // 1-bit output: Ready output .REFCLK(REFCLK), // 1-bit input: Reference clock input .RST(1’b0) // 1-bit input: Active-High reset input ); // End of DDR_IDELAYCTRL_inst instantiation

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute HIODELAY_GROUP : string;

インスタンシエート済みインスタンスの場合は、 次のよ うに指定します。

attribute HIODELAY_GROUP of instance_name : label is "group_name";

説明:

• instance_name はインスタンシエート済みの IDELAY、 ODELAY、 または IDELAYCTRL のインスタンス名で

す。

VHDL の構文例

// Specifies a group name of DDR_INTERFACE to an instantiated IDELAYCTRLattribute HIODELAY_GROUP : STRING;attribute HIODELAY_GROUP of DDR_IDELAYCTRL_inst: label is "DDR_INTERFACE";begin -- IDELAYCTRL: IDELAYE2/ODELAYE2 Tap Delay Value Control -- Virtex-7 -- Xilinx HDL Language Template, version 2014.1 DDR_IDELAYCTRL_inst : IDELAYCTRL port map ( RDY => open, -- 1-bit output: Ready output REFCLK => REFCLK, -- 1-bit input: Reference clock input RST => ‘0’ -- 1-bit input: Active-High reset input ); -- End of DDR_IDELAYCTRL_inst instantiation

XDC 構文

set_property HIODELAY_GROUP group_name [get_cells instance_name]

説明:

• instance_name は IDELAY、 ODELAY、 または IDELAYCTRL のインスタンス名です。

XDC の構文例

# Specifies a group name of DDR_INTERFACE to an instantiated IDELAYCTRL

Page 222: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 222UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

set_property HIODELAY_GROUP DDR_INTERFACE [get_cells DDR_IDELAYCTRL_inst]

影響を受けるフローの段階

• place_design

関連項目

243 ページの 「IODELAY_GROUP」

『Vivado Design Suite 7 シ リーズ FPGA および Zynq-7000 SoC ライブラ リ ガイ ド』 (UG953) [参照 25] または『UltraScale アーキテクチャ ライブラ リ ガイ ド』 (UG974) [参照 26] の次のデザイン エレ メン ト を参照してください。

• IDELAYCTRL

• IDELAYE2

• ODELAYE2

Page 223: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 223UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

HLUTNMHLUTNM プロパティを使用する と、 2 つの特定の互換性がある LUT プリ ミティブに同じグループ名 (<group_name>) を割り当てるこ とで、 同じ LUT に配置できます。

LUT に使用可能な箇所が少ない場合、 Vivado 配置でデザインに問題なく フ ィ ッ トするよ うに、 LUT インスタンスの

ペアが 1 つの LUT に自動的にまとめられます。 特定の LUT の HLUTNM プロパティに DISABLED 値を使用する と、

Vivado 配置プログラムでこれらがほかの LUT と ま とめられないよ うに設定するこ と も可能です。 これは、 後続の ECO フローでプローブを変更できるよ うにデバッグ ILA および VIO コアで LUT がまとめられないよ うにする と きに

便利です。 ECO フローの詳細は、 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908) [参照 22] のこのセクシ ョ ンを参照してください。

HLUTNM と LUTNM の相違点

ヒント : HLUTNM と LUTNM の目的は類似しているので、 同じ階層で使用される場合はそれぞれ異なる値を設定す

る必要があ り ます。 LUTNM と HLUTNM の値が同じ LUT は Vivado の配線プログラムでま とめられるか、 または値

に関して警告メ ッセージが表示されます。

• 異なる階層にある場合も含め、 デザインのどこかに存在する 2 つの LUT コンポーネン ト をま とめるには、

LUTNM を使用します。

• 階層モジュールのインスタンスが複数デザインに含まれる場合は、 HLUTNM を使用して、 その階層モジュール

の LUT コンポーネン ト をま とめます。

° HLUTNM は各階層に 1 つしか使用できません。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• CLB LUT セル (get_cells)

• <group_name>: 同じ LUT6 サイ トに指定の LUT を含める と きの名前を指定します。

• DISABLED: 配置プログラムで指定の LUT が別の LUT と ま とめられないよ うにします。

構文

Verilog 構文

Verilog 属性は LUT のインスタンシエーシ ョ ン直前に配置します。 Verilog 属性は、 同じ論理階層のペアで使用する必

要があ り ます。

(* HLUTNM = "group_name" *)

Page 224: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 224UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

Verilog の構文例

// Designates state0_inst to be placed in same LUT6 as state1_inst // LUT5: 5-input Look-Up Table with general output (Mapped to a LUT6) // Virtex-7 // Xilinx HDL Language Template, version 2014.1 (* HLUTNM = "LUT_group1" *) LUT5 #( .INIT(32'ha2a2aea2) // Specify LUT Contents ) state0_inst ( .O(state_out[0]), // LUT general output .I0(state_in[0]), // LUT input .I1(state_in[1]), // LUT input .I2(state_in[2]), // LUT input .I3(state_in[3]), // LUT input .I4(state_in[4]) // LUT input ); // End of state0_inst instantiation // LUT5: 5-input Look-Up Table with general output (Mapped to a LUT6) // Virtex-7 // Xilinx HDL Language Template, version 2014.1 (* HLUTNM = "LUT_group1" *) LUT5 #( .INIT(32'h00330073) // Specify LUT Contents ) state1_inst ( .O(state_out[1]), // LUT general output .I0(state_in[0]), // LUT input .I1(state_in[1]), // LUT input .I2(state_in[2]), // LUT input .I3(state_in[3]), // LUT input .I4(state_in[4]) // LUT input ); // End of state1_inst instantiation

Page 225: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 225UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute HLUTNM : string;

インスタンシエート済みインスタンスの場合は、 次のよ うに指定します。

attribute HLUTNM of instance_name : label is "group_name";

説明:

• instance_name は CLB の LUT インスタンスです。

• group_name は HLUTNM プロパティに割り当てる名前です。

VHDL 属性は、 同じ論理階層のペアで使用する必要があ り ます。

VHDL の構文例

-- Designates state0_inst to be placed in same LUT6 as state1_instattribute HLUTNM : string;attribute HLUTNM of state0_inst : label is "LUT_group1";attribute HLUTNM of state1_inst : label is "LUT_group1";begin -- LUT5: 5-input Look-Up Table with general output (Mapped to SLICEM LUT6) -- Virtex-7 -- Xilinx HDL Language Template, version 2014.1 state0_inst : LUT5 generic map ( INIT => X"a2a2aea2") -- Specify LUT Contents port map ( O => state_out(0), -- LUT general output I0 => state_in(0), -- LUT input I1 => state_in(1), -- LUT input I2 => state_in(2), -- LUT input I3 => state_in(3), -- LUT input I4 => state_in(4) -- LUT input ); -- End of state0_inst instantiation -- LUT5: 5-input Look-Up Table with general output (Mapped to SLICEM LUT6) -- Virtex-7 -- Xilinx HDL Language Template, version 2014.1 State1_inst : LUT5 generic map ( INIT => X"00330073") -- Specify LUT Contents port map ( O => state_out(1), -- LUT general output I0 => state_in(0), -- LUT input I1 => state_in(1), -- LUT input I2 => state_in(2), -- LUT input I3 => state_in(3), -- LUT input I4 => state_in(4) -- LUT input ); -- End of state1_inst instantiation

Page 226: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 226UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

XDC 構文

set_property HLUTNM <group_name> [get_cells <instance_name>]

説明:

• <group_name>: HLUTNM プロパティのグループ名を指定します。

• <instance_name> は CLB の LUT インスタンスの名前です。

XDC の構文例

# Designates state0_inst LUT5 to be placed in same LUT6 as state1_instset_property HLUTNM LUT_group1 [get_cells state0_inst]set_property HLUTNM LUT_group1 [get_cells state1_inst]

影響を受けるフローの段階

• link_design

• place_design

関連項目

271 ページの 「LUTNM」

Page 227: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 227UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

IBUF_LOW_PWRIBUF_LOW_PWR プロパティでは、 パフォーマンス と消費電力のト レードオフをオプシ ョ ンで設定できます。

IBUF_LOW_PWR プロパティは入力ポートに適用します。 デフォルト設定は TRUE で、 低電力モードでポートに入

力バッファーがインプリ メン ト されます。 FALSE に設定した場合は、 ハイ パフォーマンス モードになり ます。

消費電力の変更は Xilinx Power Estimator (XPE) または Vivado Design Suite の report_power コマンドを使用して見積

もるこ とができます。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• SSTL や HSTL などの VREF ベースの I/O 規格、 または LVDS や DIFF_HSTL などの差動規格が指定されている

入力ポート (get_ports)。

• TRUE: ポートに入力または双方向バッファーを低電力モードでインプリ メン ト します。 これがデフォルト値で

す。

• FALSE: ハイ パフォーマンス モードで入力または双方向バッファーをインプリ メン ト します。

構文

Verilog 構文

推論されてインスタンシエート された入力バッファーおよび双方向バッファーの場合、 適切な Verilog 属性構文を最

上位ポート宣言の前に配置します。

(* IBUF_LOW_PWR = "FALSE" *)

Verilog の構文例

// Sets the input buffer to high performance(* IBUF_LOW_PWR = "FALSE" *) input STATE,

VHDL 構文

推論されてインスタンシエート された入力バッファーの場合、 適切な VHDL 属性構文を最上位ポート宣言の前に配

置します。

VHDL 属性は次のよ うに宣言して指定します。

attribute IBUF_LOW_PWR : boolean;attribute IBUF_LOW_PWR of port_name : signal is TRUE | FALSE;

Page 228: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 228UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

説明:

• port_name は最上位ポートです。

VHDL の構文例

STATE : in std_logic;attribute IBUF_LOW_PWR : boolean;-- Sets the input buffer to high performanceattribute IBUF_LOW_PWR of STATE : signal is FALSE;

XDC 構文

DIRECTION が IN または INOUT のポート オブジェク トに IBUF_LOW_PWR をプロパティ と して割り当てます。

set_property IBUF_LOW_PWR TRUE [get_ports port_name]

説明:

• set_property IBUF_LOW_PWR はポート オブジェク トに割り当てるこ とができます。

• port_name は入力または双方向ポートです。

影響を受けるフローの段階

• report_power

• report_timing

関連項目

246 ページの 「IOSTANDARD」

Page 229: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 229UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

IN_TERMIN_TERM は、 キャ リブレーシ ョ ンされない入力終端のインピーダンス値を指定します。 終端は常に入力に付けら

れ、 また出力バッファーが ト ライステートになっている場合は双方向ピンに付けられます。

重要: UltraScale アーキテクチャの場合、 キャ リブレーシ ョ ンされない終端を指定するには、 IN_TERM の代わりに 「ODT」 を使用します。

ハイ レンジ (HR) バンク入力でのみサポート されており、 ハイ パフォーマンス (HP) バンクの入力には、 オンチップ

終端の DCI (Digital Controlled Impedance) の 「IOSTANDARD」 を指定します。

ト ラ イステート分割終端 DCI は VRN および VRP ピンの外部基準抵抗に対してキャ リブレーシ ョ ンされるのに対し

て、 IN_TERM プロパティではキャ リブレーシ ョ ンされない分割終端オプシ ョ ンが使用されます。 このオプシ ョ ンで

は、 温度、 プロセス、 電圧の変動を補正するキャ リブレーシ ョ ンがない内部抵抗が使用されます。 このオプシ ョ ン

には、 40、 50、 60 のテブナン等価抵抗値を指定できます。 詳細は、 『7 シ リーズ FPGA SelectIO リ ソース ユー

ザー ガイ ド』 (UG471) [参照 2] を参照してください。

アーキテクチャ サポート

ハイ レンジ (HR) バンク入力でのみ 7 シ リーズ デバイスはサポート されています。

適用可能なオブジェク ト

• 入力ポート または双方向ポート (get_ports)

• NONE (デフォルト )

• UNTUNED_SPLIT_40

• UNTUNED_SPLIT_50

• UNTUNED_SPLIT_60

Page 230: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 230UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

構文

Verilog 構文

この属性を設定するには、 適切な Verilog 属性構文を最上位入力または双方向ポート宣言の前に配置します。

(* IN_TERM = "{NONE|UNTUNED_SPLIT_40|UNTUNED_SPLIT_50|UNTUNED_SPLIT_60}" *)

Verilog の構文例

// Sets an on-chip input impedance of 50 Ohms to input ACT5(* IN_TERM = "UNTUNED_SPLIT_50" *) input ACT5,

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute IN_TERM : string;

VHDL 属性は次のよ うに指定します。

attribute IN_TERM of port_name : signal is value;

説明:

• port_name は最上位の入力または双方向ポートです。

VHDL の構文例

ACT5 : in std_logic;attribute IN_TERM : string;-- Sets an on-chip input impedance of 50 Ohms to input ACT5attribute IN_TERM of ACT5 : signal is “UNTUNED_SPLIT_50”;

XDC 構文

set_property IN_TERM value [get_ports port_name]

説明:

• IN_TERM はポート オブジェク ト 、 およびポート オブジェク トに接続されているネッ トに割り当てるこ とがで

きます。

• port_name は入力または双方向ポートです。

XDC の構文例

# Sets an on-chip input impedance of 50 Ohms to input ACT5set_property IN_TERM UNTUNED_SPLIT_50 [get_ports ACT5]

影響を受けるフローの段階

• I/O プランニング

• ノ イズ レポート

• 消費電力レポート

Page 232: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 232UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

INCREMENTAL_CHECKPOINTINCREMENTAL_CHECKPOINT プロパティは、 パスおよびファイル名をデザイン チェッ クポイン ト ファ イル (DCP) に指定して、 インク リ メンタル インプリ メンテーシ ョ ンで使用されるよ うにします。 このプロパティを指定する と、

以前に配置配線したデザインの配置配線データを再利用できます。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : インプリ メンテーシ ョ ン』 (UG904) [参照 20] のこのセクシ ョ ンを参照してください。

ヒン ト : INCREMENTAL_CHECKPOINT プロパティは Vivado ツールのプロジェク ト モードでしかサポート されませ

ん。 非プロジェク ト モードで以前の配置配線結果read_checkpoint利用するには、 read_checkpoint -incremental コマンドを使用します。

インク リ メンタル インプリ メンテーシ ョ ン フローには、 次の 3 つの設定があ り ます。

• 現在のデザインの以前の配置配線が自動的に再利用されるよ うにするには、

「AUTO_INCREMENTAL_CHECKPOINT」 プロパティをイネーブルにします。

• 指定したデザイン チェッ クポイン トの以前のインプリ メンテーシ ョ ンからの配置配線データを手動で再利用す

る場合は、 AUTO_INCREMENTAL_CHECKPOINT プロパティをディ スエーブルにして、

INCREMENTAL_CHECKPOINT プロパティを使用します。

• ディ スエーブルにする と、 インク リ メンタル インプリ メンテーシ ョ ンは実行されません。 この場合は、

AUTO_INCREMENTAL_CHECKPOINT プロパティをディ スエーブルにして、 INCREMENTAL_CHECKPOINT プロパティは指定しないよ うにします。

参照するデザイン チェッ クポイン トは、 通常は合成、 配置、 配線が完了した以前のイテレーシ ョ ンまたはデザイン

のバリエーシ ョ ンにしますが、 配置がされただけのチェッ クポイン ト を参照するこ と もできます。

重要: インク リ メンタル フローを適切に機能させるためには、 参照するデザインのデバイスおよびスピード グレー

ドが現在のデザインのデバイスおよびスピード グレード と同じである必要があ り ます。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• Vivado インプリ メンテーシ ョ ン run オブジェク ト (get_runs)

• {filename}: パスおよびファイル名をデザイン チェッ クポイン ト ファ イル (DCP) に指定して、 インク リ メン

タル インプリ メンテーシ ョ ンで使用されるよ うにします。

構文

Verilog および VHDL 構文

該当なし

Page 233: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 233UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

XDC 構文

set_property INCREMENTAL_CHECKPOINT {filename} [get_runs <impl_run> \-filter {IS_IMPLEMENTATION} ]

説明:

• {filename} は、 デザイン チェッ クポイン ト (DCP) のパスおよびファイル名で、 インク リ メンタル インプリ メン

テーシ ョ ンで使用されるよ うにします。

ヒン ト : インプリ メンテーシ ョ ン run のみが必要な場合は、 get_runs コマンドに -filter {IS_IMPLEMENTATION} オプシ ョ ンを使用します。

XDC の構文例

set_property INCREMENTAL_CHECKPOINT C:/Data/checkpoint_alpha.dcp \[get_runs * -filter {IS_IMPLEMENTATION}]

影響を受けるフローの段階

• インプリ メンテーシ ョ ン

関連項目

145 ページの 「AUTO_INCREMENTAL_CHECKPOINT」

Page 234: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 234UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

INTERNAL_VREF差動入力バッファーのシングルエンド I/O 規格には、 入力基準電圧 (VREF) が必要です。 VREF が I/O バンク内で必

要な場合は、 専用 VREF ピンを外部 VREF 供給と して使用するか、 INTERNAL_VREF プロパティを使用して内部生

成された VREF を使用するか、 または、 UltraScale デバイスの HP I/O バンクの場合は、 HPIO_VREF プリ ミ ティブを

介してアクセスする VREF スキャンを使用します。

INTERNAL_VREF プロパティは、 基準電圧を必要とする I/O 規格の基準電圧 (VREF) を供給するため、 I/O バンクに

内部レギュレータを使用するこ とを指定します。内部で生成された基準電圧を使用する と、プ リ ン ト回路基板 (PCB) の電源レールを介して特定の VREF を提供する必要がなくな り、 システム レベル デザインの配線の密集を削減でき

ます。

ヒン ト : 特定の VREF 電圧提供レベルを必要とするザイ リ ンクス デバイスがそのボード /システム上の唯一のデバイ

スである場合は、 内部 VREF を使用してみてください。

詳細は、 『7 シ リーズ FPGA SelectIO リ ソース ユーザー ガイ ド』 (UG471) [参照 2] および 『UltraScale アークテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571) [参照 8] を参照してください。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• I/O バンク (get_iobanks)

• 0.60

• 0.675

• 0.7 (UltraScale のみ)

• 0.75

• 0.84 (UltraScale のみ)

• 0.90

注記: すべての値がすべてのタイプの I/O バンクでサポート されるわけではあ り ません。

構文

Verilog および VHDL 構文

該当なし

Page 235: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 235UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

XDC 構文

set_property INTERNAL_VREF {value} [get_iobanks bank]

説明:

• value は基準電圧値です。

XDC の構文例

# Designate Bank 14 to have a reference voltage of 0.75 Voltsset_property INTERNAL_VREF 0.75 [get_iobanks 14]

影響を受けるフローの段階

• I/O プランニング

• place_design

• DRC

• report_power

Page 236: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 236UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

IO_BUFFER_TYPE最上位ポートに IO_BUFFER_TYPE を適用し、 IBUF および OBUF を使用するか、 入力または出力バッファーを使用

しないかを設定します。 この属性は、 任意のプライマ リ ポート または信号に設定できます。

デフォルトでは、 Vivado 合成で入力ポートには入力バッファーが、 出力ポートには出力バッファーが推論されます

が、 ただし、 手動で IO_BUFFER_TYPE プロパティを使用して、 指定ポート またはネッ トでこのデフォルト動作を

ディ スエーブルにできます。

ヒン ト : IO_BUFFER_TYPE プロパティを使用する と、 ターゲッ ト ネッ トに KEEP が設定されます。 これは、 ネッ ト

名を保持し、 RTL 最適化中にネッ トが削除されないよ うにするためのものです。

IO_BUFFER_TYPE は、 「CLOCK_BUFFER_TYPE」 と共に使用する と、 ク ロ ッ ク信号に対し推論するべきバッファー

の組み合わせを決定できます。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• ポート (get_ports): IO_BUFFER_TYPE を最上位ポートに適用して、 バッファー挿入をディ スエーブルにしま

す。

• ネッ ト (get_nets): IO_BUFFER_TYPE を最上位ポートに接続されている任意の信号に適用して、 バッファー挿

入をディ スエーブルにします。

値 • NONE: この値を入力ポート または出力ポートに指定する と、 入力または出力バッファーは推論されなくな り ま

す。

構文

Verilog 例

(* io_buffer_type = "none" *) input in1;

Page 237: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 237UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

VHDL 例

entity test is port(in1 : std_logic_vector (8 downto 0);clk : std_logic;out1 : std_logic_vector(8 downto 0));attribute io_buffer_type : string;attribute io_buffer_type of out1: signal is "none";end test;

XDC の例

set_property IO_BUFFER_TYPE NONE [get_ports <port_name>]

影響を受けるフローの段階

• 合成

関連項目 161 ページの 「CLOCK_BUFFER_TYPE」

Page 238: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 238UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

IOBIOB を使用する と、 Vivado ツールで入力または出力ロジッ ク ブロ ッ クに接続されたレジスタが配置されるよ うにな

り ます。 この属性は、 I/O ブロ ッ クに配置するレジスタに接続されたポートに設定します。

重要: TRUE に設定する と、 レジスタのみが IOB に配置されます。 IOB 制約の方が優先されるので、 タイ ミ ングを改

善するために IOB からフ リ ップフロ ップは移動されません。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• ポート (get_ports)

° レジスタに接続されるポートすべて

• レジスタ (get_cells)

• TRUE: 接続したレジスタを I/O ブロ ッ クに配置します。

• FALSE: 指定したレジスタを I/O ブロ ッ クに配置しません (デフォルト )。

構文

Verilog 構文

この属性を設定するには、 適切な Verilog 属性構文を最上位ポート宣言の前に配置します。

(* IOB = "{TRUE|FALSE}" *)

Verilog の構文例

// Place the register connected to ACK in the input logic site(* IOB = "TRUE" *) input ACK,

Page 239: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 239UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

VHDL 構文

VHDL 属性は次のよ うに宣言して指定します。

attribute IOB : string;attribute IOB of <port_name>: signal is "{TRUE|FALSE}";

説明:

• port_name は最上位ポートです。

VHDL の構文例

ACK : in std_logic;attribute IOB : string;-- Place the register connected to ACK in the input logic siteattribute IOB of ACK: signal is "TRUE";

XDC 構文

set_property IOB value [get_ports port_name]

説明:

• value は TRUE または FALSE です。

XDC の構文例

# Place the register connected to ACK in the input logic siteset_property IOB TRUE [get_ports ACK]

影響を受けるフローの段階

• place_design

Page 240: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 240UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

IOB_TRI_REGUltraScale+ デバイスの場合、 IOB_TRI_REG プロパティを使用する と、 ト ラ イステート信号を駆動するフ リ ップフ

ロ ップがデバイス ファブ リ ッ クの代わりに I/O ロジッ ク (IOB) の HD (High Density) I/O バンクに配置できます。High Density I/O の詳細は、 『UltraScale アークテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571) [参照 8] を参照してく

ださい。

ヒン ト : このプロパティは、 XDC 制約と してレジスタ セルに割り当てる必要があ り ます。 HDL ソース ファ イルでは

サポート されません。 また、 ポートには割り当てるこ とができません。

アーキテクチャ サポート

UltraScale+ デバイス。

適用可能なオブジェク ト

• セル (get_cells)

• TRUE: 指定した渡来ステート レジスタを HD I/O ブロ ッ クに配置します。

• FALSE: 指定したレジスタを I/O ブロ ッ クに配置しません (デフォルト )。

構文

Verilog 構文

該当なし。

VHDL 構文

該当なし。

XDC 構文

set_property IOB_TRI_REG value [get_cells <cell_name>]

影響を受けるフローの段階

• place_design

Page 241: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 241UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

IOBDELAYIOBDELAY (Input Output Block Delay) プロパティは、 システム同期データ入力キャプチャの入力ホールド タイムを緩

和するため、 ILOGIC ブロ ッ クの遅延の追加/削除を指定します。

ILOGIC ブロ ッ クは I/O ブロ ッ ク (IOB) に隣接しており、 IOB から FPGA にデータが入力されたと きにそのデータを

取り込む同期エレ メン ト を含みます。 7 シ リーズ FPGA の ILOGIC ブロ ッ クは、 HP I/O バンクでは ILOGICE2 と し

て、 HR I/O バンクでは ILOGICE3 と してコンフ ィギュレーシ ョ ンできます。 ILOGICE2 と ILOGICE3 は機能的には同

じですが、ILOGICE3 には IOBDELAY と共にコンフ ィギュレーシ ョ ン可能なゼロ ホールド遅延エレ メン ト (ZHOLD) があ り ます。 IOBDELAY の使用方法の詳細は、 『7 シ リーズ FPGA SelectIO リ ソース ユーザー ガイ ド』 (UG471) [参照 2] または 『UltraScale アークテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571) [参照 8] を参照してください。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• ポート (get_ports)

• 入力バッファー (IBUF) に割り当てるためのセル。

• ネッ ト

• NONE: IBUF および入力フ リ ップフロ ップ (IFD) パスの両方に対し、 遅延をオフに設定します。

• IBUF

° I/O コンポーネン ト内の任意のレジスタに対し、 遅延を OFF に設定します。

° ILOGIC ブロ ッ クまでのバッファーの付いたパスに対し、 遅延を ON に設定します。

• IFD

° I/O コンポーネン ト内の IFF レジスタに対し、 遅延を ON に設定します。

° ILOGIC ブロ ッ クまでのバッファーの付いたパスに対し、 遅延を OFF に設定します。

• BOTH: IBUF および IFD パスの両方に対し、 遅延をオンに設定します。

構文

Verilog 例

Verilog 制約をモジュールまたはインスタンシエーシ ョ ンの直前に配置します。

Verilog 制約は次のよ うに指定します。

(* IOBDELAY = {NONE|BOTH|IBUF|IFD} *)

Page 242: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 242UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

VHDL 例

VHDL 制約は次のよ うに宣言します。

attribute iobdelay: string;

VHDL 制約は次のよ うに指定します。

attribute iobdelay of {component_name |label_name }: {component|label} is “{NONE|BOTH|IBUF|IFD}”;

XDC 構文

set_property IOBDELAY value [get_cells cell_name]

説明:

• value は、 NONE、 IBUF、 IFD、 BOTH のいずれかです。

XDC の構文例

set_property IOBDELAY "BOTH" [get_nets {data0_I}]

影響を受けるフローの段階

• タイ ミ ング

• 配置

• 配線

Page 243: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 243UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

IODELAY_GROUPIODELAY_GROUP は IDELAYCTRL セルを関連する IDELAY および ODELAY セルと共にグループにまとめ、 配置お

よび複製が正し く実行されるよ うにします。

IODELAY_GROUP を使用して IDELAYCTRL にグループ名を割り当てる場合は、同じ IODELAY_GROUP プロパティ

を使用してそのグループに IDELAY または ODELAY セルも関連付ける必要があ り ます。

重要: IODELAY_GROUP には複数のセルを含めるこ とができますが、 1 つのセルに 1 つの IODELAY_GROUP しか割

り当てられません。

次の例は、 set_property を使用して、 特定の IDELAYCTRL に関連付けられている IDELAY/ODELAY エレ メン ト

をすべてグループにまとめています。

set_property IODELAY_GROUP IO_DLY1 [get_cells MY_IDELAYCTRL_inst]set_property IODELAY_GROUP IO_DLY1 [get_cells MY_IDELAY_inst]set_property IODELAY_GROUP IO_DLY1 [get_cells MY_ODELAY_inst]

IODELAY_GROUP と HIODELAY_GROUP の相違点 IODELAY_GROUP は違う階層にあるエレ メン ト ど う しをま とめるこ とができますが、 HIODELAY_GROUP の名前は

階層ごとに決められています。 異なる階層の I/O 遅延コンポーネン ト を 1 つのグループにまとめるには、

IODELAY_GROUP を使用します。

HIODELAY_GROUP は、 同じ階層モジュールにある I/O 遅延コンポーネン ト をグループにまとめます。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• セル (get_cells)

° IDELAY、 ODELAY、 または IDELAYCTRL インスタンス

指定したグループ名

構文

Verilog 構文

Verilog 属性は IDELAY、 ODELAY、 または IDELAYCTRL のインスタンシエーシ ョ ン直前に配置します。

(* IODELAY_GROUP = "value" *)

Page 244: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 244UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

Verilog の構文例

// Specifies a group name of DDR_INTERFACE to an instantiated IDELAYCTRL// IDELAYCTRL: IDELAYE2/ODELAYE2 Tap Delay Value Control// Virtex-7// Xilinx HDL Language Template, version 2014.1// Specifies DDR_INTERFACE group name for IDELAYs/ODELAYs and IDELAYCTRL (* IODELAY_GROUP = “DDR_INTERFACE” *) IDELAYCTRL DDR_IDELAYCTRL_inst ( .RDY(), // 1-bit output: Ready output .REFCLK(REFCLK), // 1-bit input: Reference clock input .RST(1’b0) // 1-bit input: Active-High reset input ); // End of DDR_IDELAYCTRL_inst instantiation

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute IODELAY_GROUP : string;

インスタンシエート済みインスタンスの場合は、 次のよ うに指定します。

attribute IODELAY_GROUP of instance_name : label is "group_name";

説明:

• instance_name はインスタンシエート済みの IDELAY、 ODELAY、 または IDELAYCTRL のインスタンス名で

す。

VHDL の構文例

// Specifies a group name of DDR_INTERFACE to an instantiated IDELAYCTRLattribute IODELAY_GROUP : STRING;attribute IODELAY_GROUP of DDR_IDELAYCTRL_inst: label is "DDR_INTERFACE";begin -- IDELAYCTRL: IDELAYE2/ODELAYE2 Tap Delay Value Control -- Virtex-7 -- Xilinx HDL Language Template, version 2014.1 DDR_IDELAYCTRL_inst : IDELAYCTRL port map ( RDY => open, -- 1-bit output: Ready output REFCLK => REFCLK, -- 1-bit input: Reference clock input RST => ‘0’ -- 1-bit input: Active-High reset input ); -- End of DDR_IDELAYCTRL_inst instantiation

XDC 構文

set_property IODELAY_GROUP group_name [get_cells instance_name]

説明:

• group_name はユーザー指定の IODELAY_GROUP 名です。

• instance_name は IDELAY、 ODELAY、 または IDELAYCTRL のインスタンス名です。

Page 245: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 245UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

XDC の構文例

# Specifies a group name of DDR_INTERFACE to an instantiated IDELAYCTRLset_property IODELAY_GROUP DDR_INTERFACE [get_cells DDR_IDELAYCTRL_inst]

影響を受けるフローの段階

• 配置

関連項目

220 ページの 「HIODELAY_GROUP」

『Vivado Design Suite 7 シ リーズ FPGA および Zynq-7000 SoC ライブラ リ ガイ ド』 (UG953) [参照 25] または『UltraScale アーキテクチャ ライブラ リ ガイ ド』 (UG974) [参照 26] の次のデザイン エレ メン ト を参照してください。

° IDELAYCTRL

° IDELAYE2

° ODELAYE2

Page 246: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 246UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

IOSTANDARDIOSTANDARD は、 ターゲッ ト デバイスで入力、 出力、 または双方向ポート をコンフ ィギュレーシ ョ ンする と きに

使用するプログラマブル I/O 規格を指定します。

重要: Vivado Design Suite がデザインからビッ ト ス ト リームを生成する前に、 I/O バンクのすべてのポートで IOSTANDARD を定義する必要があ り ます。 ただし、 IOSTANDARD は GT または XADC には適用できません。

1 つの I/O バンクに複数の異なる IOSTANDARD を含めるこ とができますが、 これらの IOSTANDARD には互換性が

なくてはなり ません。 1 つの I/O バンクに異なる入力、 出力、 双方向 I/O 規格を組み合わせる場合は、 次のルールに

従う必要があ り ます。

1. 同じ出力 VCCO 要件を持つ出力規格は、 同じバンクにま とめるこ とができます。

2. 同じ VCCO および VREF 要件を持つ入力規格は、 同じバンクにま とめるこ とができます。

3. 同じ VCCO 要件を持つ入力規格および出力規格は、 同じバンクにま とめるこ とができます。

4. ほかの規格と双方向 I/O 規格を組み合わせる場合は、 双方向規格が最初の 3 つのルールに沿っているこ とを確認

します。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• ポート (get_ports)

° 任意のポート : I/O ポートの RTL ソースで IOSTANDARD を定義するか、 ポート セルの XDC 制約と して定

義します。

有効な I/O 規格はターゲッ トにするザイ リ ンクス FPGA によって異なり ます。 特定のデバイス IOSTANDARD の値

は、 『7 シ リーズ FPGA SelectIO リ ソース ユーザー ガイ ド』 (UG471) [参照 2] および 『UltraScale アークテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571) [参照 8] を参照してください。

構文

Verilog 構文

このパラ メーターを設定するには、 適切な Verilog 構文を最上位ポート宣言の前に配置します。

(* IOSTANDARD = "value" *)

Verilog の構文例

// Sets the I/O Standard on the STATUS output to LVCMOS12(* IOSTANDARD = "LVCMOS12" *) output STATUS,

Page 247: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 247UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

VHDL 構文

適切な VHDL 属性構文を最上位ポート宣言の前に配置します。

VHDL 属性は次のよ うに宣言して指定します。

attribute IOSTANDARD : string;attribute IOSTANDARD of <port_name>: signal is "<standard>";

説明:

• port_name は最上位ポートです。

VHDL の構文例

STATUS : out std_logic;attribute IOSTANDARD : string;-- Sets the I/O Standard on the STATUS output to LVCMOS12attribute IOSTANDARD of STATUS: signal is "LVCMOS12";

XDC 構文

IOSTANDARD は、 デザイン内のポート オブジェク トに XDC 制約と して定義するこ と もできます。

set_property IOSTANDARD value [get_ports port_name]

説明:

• port_name は最上位ポートです。

XDC の構文例

# Sets the I/O Standard on the STATUS output to LVCMOS12set_property IOSTANDARD LVCMOS12 [get_ports STATUS]

Page 248: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 248UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

影響を受けるフローの段階

• I/O プランニング

• ノ イズ レポート

• 消費電力レポート

• DRC レポート

• place_design

関連項目

『Vivado Design Suite 7 シ リーズ FPGA および Zynq-7000 SoC ライブラ リ ガイ ド』 (UG953) [参照 25] または『UltraScale アーキテクチャ ライブラ リ ガイ ド』 (UG974) [参照 26] にある次のデザイン エレ メン ト を参照してください。

• OBUF

• OBUFT

• IOBUF

Page 249: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 249UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

IP_REPO_PATHSこのプロパティを使用する と、 Vivado Design Suite で使用するカスタム IP カタログを作成できます。

IP_REPO_PATHS プロパティは、 サードパーティ またはユーザー定義の IP を含む 1 つまたは複数のディ レク ト リへ

のパスを定義します。指定したディ レク ト リおよび下位ディ レク ト リで IP 定義が検索され、 Vivado Design Suite IP カタログに追加され、 デザイン入力や IP インテグレーターで使用できるよ うにな り ます。

このプロパティは、 現在のプロジェク トの現在のファイルセッ トに割り当てられます。

ヒン ト : 新しいプロジェク ト を作成する と きに IP_REPO_PATHS プロパティが割り当てられるよ うにするには、

Vivado IDE で [Tools] → [Settings] → [IP Defaults] ページの [Default IP Repository Search Paths] でパスを指定します。 こ

のデフォルトの IP リ ポジ ト リ検索パスは、 vivado.ini ファ イルに保存され、 IP_REPO_PATHS を使用して新しい

プロジェク トに追加されます。

IP_REPO_PATHS は <component>.xml ファ イルを検索します。 <component> はカタログに追加する IP の名前で

す。 XML ファ イルでは、 IP を定義するさまざまなファイルが識別されます。 IP_REPO_PATHS プロパティでは、 リ

ポジ ト リの各 IP の XML ファ イルを直接指定する必要はあ り ません。 IP カタログが指定した IP リ ポジ ト リの下位

フォルダーから、 カタログに追加する IP が検索されます。

重要: IP_REPO_PATHS プロパティに IP カタログへ追加される新しい IP リ ポジ ト リ ディ レク ト リが含まれるよ うに

設定したら、 update_ip_catalog コマンドを使用する必要があ り ます。

リ ポジ ト リのサードパーティ またはユーザー定義 IP で現在のプロジェク ト またはデザインで使用中のデバイスの製

品ファ ミ リがサポート される場合、 その IP はカタログに互換性のある IP と して追加されます。 IP の互換性にター

ゲッ ト パーツが含まれない場合、 その IP が現在のプロジェク ト またはデザインと互換性がなく、 IP カタログには表

示されないこ とがあ り ます。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 16] を参照してください。

アーキテクチャ サポート

UltraScale デバイス。

適用可能なオブジェク ト

• current_fileset

• <dir_name> - ユーザー定義 IP が格納される 1 つまたは複数のディ レク ト リ名を指定します。ディ レク ト リ名は、

相対的または絶対的に指定でき、 別々に指定するか、 スペースで区切って指定し、 中かっこ {} かダブルクォー

テーシ ョ ン " " で囲む必要があ り ます。

Page 250: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 250UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

構文

Verilog および VHDL 構文

該当なし

XDC 構文

set_property IP_REPO_PATHS {<ip_directories>} [current_fileset]

説明:

• <ip_directories> は、 サードパーティ またはユーザー定義のパッケージされた IP 定義を含むディ レク ト リ を 1 つまたは複数指定します。

XDC の構文例

set_property IP_REPO_PATHS {c:/Data/Designs C:/myIP} [current_fileset]update_ip_catalog

使用可能な段階

• デザイン入力

Page 251: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 251UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

IS_ENABLEDIS_ENABLED プロパティは、 Report DRC を実行する と き、 Vivado Design Suite で個々のデザイン ルール チェッ ク (DRC) をイネーブルにするかディ スエーブルにするかを設定できます。 DRC の実行の詳細は、 『Vivado Design Suite ユーザー ガイ ド : システム レベル デザイン入力』 (UG895) [参照 15] のこのセクシ ョ ンを参照してください。

ビルト イン DRC でもカスタム DRC でも、 イネーブル/ディ スエーブルにできます。 カスタム DRC の記述方法の詳細

は、『Vivado Design Suite ユーザー ガイ ド : Tcl スク リプ ト機能の使用』 (UG894) [参照 14] のこのセクシ ョ ンを参照して

ください。

重要: Vivado ではビルト イン DRC オブジェク ト をディ スエーブルにしたり重要度を下げたりするこ とが可能ですが、

予期しない結果が発生したり、 デバイスを恒久的に破損する可能性があ り ます。 ビルト イン DRC オブジェク ト を

ディ スエーブルにしたり重要度を下げたり しないこ とを強くお勧めします。

DRC オブジェク ト をデフォルト設定にリセッ トするには、 reset_drc_check という Tcl コマンドを使用します。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• デザイン ルール チェッ ク オブジェク ト (get_drc_checks)

• TRUE: report_drc コマンド実行中に使用する指定 DRC をイネーブルにします (デフォルト )。

• FALSE: report_drc 実行中にルールが評価されないよ うに、 DRC をディ スエーブルにします。

構文

Verilog および VHDL 構文

該当なし

XDC 構文

set_property IS_ENABLED {TRUE | FALSE} [get_drc_checks <id>]

説明:

• <id> は Vivado Design Suite で認識される DRC ID です。

XDC の構文例

set_property IS_ENABLED false [get_drc_checks RAMW-1]

Page 252: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 252UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

影響を受けるフローの段階

• report_drc

• write_bitstream

関連項目 338 ページの 「SEVERITY」

Page 253: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 253UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

KEEPKEEP 属性を使用する と、 最適化が実行されません。 信号が最適化によ り削除されたり ロジッ ク ブロ ッ クに吸収さ

れるよ うな場合にこの属性を指定する と、 合成ツールで信号を保持して、 ネッ ト リ ス トに含まれるよ うに命令でき

ます。

たとえば、 2 ビッ トの AND ゲートの出力で別の AND ゲート を駆動する信号に KEEP 制約を設定する と、信号は両方

の AND ゲート を含むよ り大きい LUT には統合されません。

KEEP は、 タイ ミ ング制約と もよ く併用されます。 通常は最適化される信号にタイ ミ ング制約が設定されている場

合、 KEEP を設定する と最適化されなくな り、 正しいタイ ミ ング規則が使用されます。

ただし、 何も駆動していない信号に KEEP を設定する際には注意が必要です。 このよ うな信号が合成で保持される

と、 ダウンス ト リーム プロセスで問題になるこ とがあ り ます。

注記: KEEP はモジュールまたはエンティティのポートには設定できません。 特定のポート を保持する必要がある場

合は、 flatten_hierarchy ="none" 設定を使用するか、 モジュールまたはエンティティ自体に DONT_TOUCH を設定しま

す。

注意: KEEP をほかの属性と共に使用する場合は注意が必要です。 ほかの属性が KEEP 属性と競合する場合、 通常 KEEP 属性が優先されます。

例:

• ある信号に MAX_FANOUT 属性が設定されており、 この信号で駆動される信号に KEEP 属性が設定されている

場合、 KEEP 属性が設定されている信号ではファンアウ ト複製は実行されません。

• RAM STYLE="block" が使用されており、 その RAM の一部となるべきレジスタに KEEP が設定されている と、

KEEP 属性のためにブロ ッ ク RAM が推論されません。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• この属性は信号、 レジスタ、 ワイヤに設定できます。

° get_nets

° get_cells

Page 254: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 254UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

• TRUE: 信号を保持します。

• FALSE: 信号が必要に応じて最適化されるよ うにします。 FALSE を使用しても、 信号が無条件に削除されるこ と

はあ り ません。 デフォルトは FALSE です。

推奨: この属性は RTL のみで設定します。 保持する必要のある信号が XDC ファ イルが読み込まれる前に最適化で削

除されてしま う こ とがよ くあるので、 この属性が必ず使用されるよ うに RTL で設定します。

構文

このセクシ ョ ンの構文例は、 特定のツールまたは手法でこの制約を使用する方法を示しています。 ツールまたは手

法がリ ス ト されない場合は、 それを使用してこの制約は使用できません。

Verilog 構文

Verilog 制約をモジュールまたはインスタンシエーシ ョ ンの直前に配置します。

Verilog 制約は次のよ うに指定します。

(* KEEP = “{TRUE|FALSE|SOFT}” *)

Verilog 例

(* keep = “true” *) wire sig1;assign sig1 = in1 & in2;assign out1 = sig1 & in2;

VHDL 構文

VHDL 制約は次のよ うに宣言します。

attribute keep : string;

VHDL 制約は次のよ うに指定します。

attribute keep of signal_name : signal is “{TRUE|FALSE}”;

VHDL 例

signal sig1 : std_logic;attribute keep : string;attribute keep of sig1 : signal is “true”;........sig1 <= in1 and in2;out1 <= sig1 and in3;

XDC 構文

該当なし

Page 255: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 255UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

使用可能な段階

• 合成

関連項目

194 ページの 「DONT_TOUCH」

258 ページの 「KEEP_HIERARCHY」

279 ページの 「MARK_DEBUG」

Page 256: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 256UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

KEEP_COMPATIBLEFPGA デザイン プロセス中に、 デバイスをよ り大きいものや、 別のパーツに変更する必要が出てく るこ とがあ り ま

す。 KEEP_COMPATIBLE プロパティでは、 必要に応じてデザインのターゲッ ト デバイスを変更するこ とができるよ

うに、 現在のデザインと互換性がある 1 つまたは複数のザイ リ ンクス FPGA パーツのリ ス ト を定義します。 これに

よ り、 指定したデバイス間で互換性のない I/O または PACKAGE_PIN は使用されなくな り、 デザインが現在のパー

ツまたは互換性のあるパーツにマップできるよ うにな り ます。

KEEP_COMPATIBLE プロパティを使用する と、 デザイン フローの早期に互換性のある代替デバイスを定義して、 指

定した互換性のあるデバイスすべてで機能する I/O ピン割り当てが可能になり ます。 Vivado Design Suite では、 I/O ポートがすべてパーツに共通ではないピンに割り当てられないよ うに、 パッケージ ピンに PROHIBIT プロパティが

定義されます。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• current_design

COMPATIBLE_PART は、 現在のターゲッ ト パーツのデバイス とパッケージを組み合わせて定義されます。 たとえ

ば、 xc7k70tfbg676-2 パーツには、 次のよ うなプロパティがあ り ます。

NAME xc7k325tffg676-2DEVICE xc7k325tPACKAGE ffg676COMPATIBLE_PARTS xc7k160tfbg676 xc7k160tffg676 xc7k325tfbg676

xc7k410tfbg676 xc7k410tffg676 xc7k70tfbg676

パーツ オブジェク トの COMPATIBLE_PARTS プロパティでは、 さまざまな DEVICE および PACKAGE がリ ス ト され

ます。 SPEED は指定されません。 この結果、 互換性のあるパーツは次のよ うにな り ます。

xc7k160tfbg676-1xc7k160tfbg676-2xc7k160tfbg676-2Lxc7k160tfbg676-3xc7k160tffg676-1xc7k160tffg676-2xc7k160tffg676-2Lxc7k160tffg676-3xc7k325tfbg676-1xc7k325tfbg676-2xc7k325tfbg676-2Lxc7k325tfbg676-3xc7k410tfbg676-1xc7k410tfbg676-2xc7k410tfbg676-2Lxc7k410tfbg676-3xc7k410tffg676-1

Page 257: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 257UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

xc7k410tffg676-2xc7k410tffg676-2Lxc7k410tffg676-3xc7k70tfbg676-1xc7k70tfbg676-2xc7k70tfbg676-2Lxc7k70tfbg676-3

構文

Verilog および VHDL 構文

該当なし

XDC 構文

set_property KEEP_COMPATIBLE {value1 value2 valueN} [current_design]

{value1 value2 valueN} は、 PART オブジェク トに定義される、 1 つまたは複数の COMPATIBLE_PARTS です。

現在のデザインのターゲッ ト パーツの COMPATIBLE_PART は、 次の Tcl コマンドを使用する と取得できます。

get_property COMPATIBLE_PARTS [get_property PART [current_design]]

XDC の構文例

set_property KEEP_COMPATIBLE {xc7k160tfbg676 xc7k410tffg676} [current_design]

使用可能な段階

• I/O プランニング

• 配置

Page 258: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 258UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

KEEP_HIERARCHYKEEP_HIERARCHY ではユーザー階層が保持され、 最適化がバウンダ リ を越えて実行されないよ うにできます。 こ

れでフロアプラン、 解析、 デバッグがしやすくな り ますが、 最適化が抑止されるので、 デザインが大き く、 遅く

なってしま う こ とがあ り ます。

推奨: このよ うな悪影響を回避するよ う、 KEEP_HIERARCHY が適用されているモジュール インスタンスの出力すべ

てにレジスタを付けます。 この属性は、 合成前に適用する と最も効果的です。

KEEP_HIERARCHY は、 階層レベルが変更されないよ うにするためのプロパティです。 Vivado 合成では、 RTL で指

定された階層と同じ階層が保持されるよ う試みられますが、 QoR (結果の品質) を改善するために階層がフラ ッ トに

されたり、 変更されるこ と もあ り ます。

インスタンスに KEEP_HIERARCHY を指定する と、 合成でその階層レベルは変更されません。 これが QoR に影響を

与える場合があ り ます。 また、 ト ラ イステート出力および I/O バッファーの制御ロジッ クを記述するモジュールには

使用しないでください。 KEEP_HIERARCHY は、 モジュール、 アーキテクチャ レベル、 またはインスタンスに指定

できます。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• 階層モジュール (get_cells)

• TRUE: 階層を超えて最適化が実行されないないよ うにして、 階層を保持します。

• FALSE: 階層を超えて最適化します (デフォルト )。

Page 259: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 259UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

構文

Verilog 構文

Verilog 属性をユーザーの階層インスタンシエーシ ョ ンの直前に配置します。

(* KEEP_HIERARCHY = "{TRUE|FALSE}" *)

Verilog の構文例

// Preserve the hierarchy of instance CLK1_rst_sync(* KEEP_HIERARCHY = "TRUE" *) reset_sync #( .STAGES(5) ) CLK1_rst_sync ( .RST_IN(RST | ~LOCKED), .CLK(clk1_100mhz), .RST_OUT(rst_clk1) );

モジュールの場合

(* keep_hierarchy = "yes" *) module bottom (in1, in2, in3, in4, out1, out2);

インスタンスの場合

(* keep_hierarchy = "yes" *)bottom u0 (.in1(in1), .in2(in2), .out1(temp1));

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute KEEP_HIERARCHY : string;

VHDL 属性は次のよ うに指定します。

attribute KEEP_HIERACHRY of name: label is "{TRUE|FALSE}";

説明:

• name はユーザー定義のインスタンスの名前です。

VHDL の構文例

attribute KEEP_HIERARCHY : string;-- Preserve the hierarchy of instance CLK1_rst_syncattribute KEEP_HIERARCHY of CLK1_rst_sync: label is "TRUE";… CLK1_rst_sync : reset_sync PORT MAP ( RST_IN => RST_LOCKED, CLK => clk1_100mhz, RST_OUT => rst_clk1 );

Page 260: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 260UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

モジュールに適用する場合:

attribute keep_hierarchy : string;

attribute keep_hierarchy of beh : architecture is "yes";

インスタンスに適用する場合:

attribute keep_hierarchy : string;

attribute keep_hierarchy of u0 : label is "yes";

XDC 構文

set_property KEEP_HIERARCHY {TRUE|FALSE} [get_cells instance_name]

説明:

• instance_name は階層モジュールです。

XDC の構文例

# Preserve the hierarchy of instance CLK1_rst_syncset_property KEEP_HIERARCHY TRUE [get_cells CLK1_rst_sync]

影響を受けるフローの段階

• synth_design

関連項目

194 ページの 「DONT_TOUCH」

253 ページの 「KEEP」

279 ページの 「MARK_DEBUG」

Page 261: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 261UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

KEEPER重要: KEEPER プロパティはサポート されなくな り ました。 「PULLTYPE」 に置き換えてください。

KEEPER は、 ト ラ イステート出力または双方向ポートにウ ィーク ド ラ イバーを適用し、 駆動されていないと きに値

を保持します。 KEEPER プロパティでは、 ポートに接続されている出力ネッ トの値が保持されます。

たとえば、指定したポート を介してロジッ ク 1 が駆動される場合、 KEEPER はウ ィークまたは抵抗 1 をそのポート ま

で駆動します。 その後ネッ ト ド ラ イバーが ト ライステート状態になる と、 KEEPER は接続されたポート を介して

ウ ィークまたは抵抗 1 をネッ トに駆動し続け、 値を保持します。

入力バッファー (IBUF など)、 ト ラ イステート出力バッファー (OBUFT など)、 および双方向バッファー (IOBUF など

) には、 ウ ィーク プルアップ抵抗、 ウ ィーク プルダウン抵抗、 またはウ ィーク キーパー回路を含めるこ とができま

す。 バッファーに接続されているポート オブジェク トに次の値のいずれかを設定して、 PULLTYPE プロパティを追

加する と、 この機能を実行できます。

• PULLUP

• PULLDOWN

• KEEPER

注記: このプロパティを適用する と、 KEEPER 機能が RTL シ ミ ュレーシ ョ ン中に表示されなくなるので、 RTL シ ミ ュ

レーシ ョ ンと インプリ メン ト済みデザイン間で機能的な差が生じるこ とがあ り ます。 この機能は、 ゲート レベルの

シ ミ ュレーシ ョ ン ネッ ト リ ス ト を使用して検証できます。 それ以外の場合は、 このプロパティを使用する代わりに、

PULLDOWN UNISIM をインスタンシエート して、 RTL シ ミ ュレーシ ョ ンにこの動作が示されるよ うにします。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• ポート (get_ports): 最上位ポートに適用します。

• TRUE|YES: 指定したポートに接続されたネッ トの値を保持するためキーパー回路を使用します。

• FALSE|NO: keep 回路を使用しません (デフォルト )。

Page 262: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 262UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

構文

Verilog 構文

Verilog 制約をポート宣言の直前に配置します。

Verilog 制約は次のよ うに指定します。

(* KEEPER = " {YES|NO|TRUE|FALSE}" *)

VHDL 構文

VHDL 制約は次のよ うに宣言して指定します。

attribute keeper: string;attribute keeper of signal_name : signal is “{YES|NO|TRUE|FALSE}”;

XDC 構文

set_property KEEPER {TRUE|FALSE} [get_ports port_name]

説明:

• port_name は、 入力、 出力、 入出力ポートの名前です。

XDC の構文例

# Use a keeper circuit to preserve the value on the specified portset_property KEEPER TRUE [get_ports wbWriteOut]

影響を受けるフローの段階

• 論理から物理へのマッピング

関連項目

311 ページの 「PULLDOWN」

313 ページの 「PULLTYPE」

315 ページの 「PULLUP」

Page 263: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 263UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

LOCLOC は、 ターゲッ トのザイ リ ンクス パーツの SITE リ ソースにロジッ ク セルを配置します。

LOC プロパティ または制約を 「BEL」 プロパティ と一緒に使用する と、 デバイス内のセルの正確な配置を定義でき

ます。 この場合、 BEL 制約は LOC 制約よ り も前に定義しておかないと、 配置でエラーになり ます。

ヒン ト : デバイス パッケージの物理的ピンに I/O ポート を割り当てるには、 LOC ではなく 「PACKAGE_PIN」 プロパ

ティを使用します。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• セル (get_cells)

° プリ ミ ティブ セルすべて

サイ ト名 (SLICE_X15Y14 または RAMB18_X6Y9 など)

構文

Verilog 構文

Verilog 属性はコンポーネン トのインスタンシエーシ ョ ンの直前に配置します。

ヒン ト : 推論されたレジスタの SRL または LUTRAM の reg を 1 つのデバイス サイ トに配置できる場合は、 この Verilog 属性はその reg 宣言前にも配置できます。

(* LOC = "site_name" *)// Designates placed_reg to be placed in SLICE site SLICE_X0Y0(* LOC = "SLICE_X0Y0" *) reg placed_reg;

Page 264: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 264UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute LOC : string;

インスタンシエート済みインスタンスの場合は、 次のよ うに指定します。

attribute LOC of instance_name : label is "site_name";

instance_name はインスタンシエート済みプリ ミティブのインスタンス名です。

VHDL の構文例

-- Designates instantiated register instance placed_reg to be placed-- in SLICE site SLICE_X0Y0attribute LOC of placed_reg : label is "SLICE_X0Y0";

推論済みインスタンスの場合、 VHDL 属性は次のよ うに指定します。

attribute LOC of signal_name : signal is "site_name";

説明:

• signal_name は 1 つのサイ トに配置可能な推論済みプリ ミ ティブの信号名です。

VHDL の構文例

-- Designates inferred register placed_reg to be placed in SLICE site SLICE_X0Y0attribute LOC of placed_reg : signal is "SLICE_X0Y0";

XDC 構文

set_property LOC site_name [get_cells instance_name]

説明:

• instance_name はプリ ミ ティブ インスタンスです。

XDC の構文例

# Designates placed_reg to be placed in SLICE site SLICE_X0Y0set_property LOC SLICE_X0Y0 [get_cells placed_reg]

影響を受けるフローの段階

• デザインのフロアプラン

• place_design

関連項目

147 ページの 「BEL」

290 ページの 「PACKAGE_PIN」

294 ページの 「PBLOCK」

Page 265: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 265UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

LOCK_PINSLOCK_PINS はセル プロパティで、 論理 LUT 入力 (I0、 I1、 I2、 …) とザイ リ ンクス FPGA リ ソースの LUT 物理入力

ピン (A6、 A5、 A4、 …) のマップを指定します。 通常、 タイ ミ ング ク リ ティカルな LUT 入力を高速の A6 および A5 物理 LUT 入力にマップするために使用されます。

デフォルトでは、 LUT ピンは番号の大きいものから小さいものへ順番にマップされます。 最大番号の論理ピンは最

大番号の物理ピンにマップされます。

• A6LUT に配置された LUT6 のデフォルトのピン マッピングは次のよ うにな り ます。

I5:A6 I4:A5 I3:A4 I2:A3 I1:A2 I0:A1

• A5LUT に配置された LUT5 のデフォルトのピン マッピングは次のよ うにな り ます。

I5:A5 I4:A4 I3:A3 I2:A2 I1:A1

• A6LUT に配置された LUT2 のデフォルトのピン マッピングは次のよ うにな り ます。

I1:A6 I0:A5

LOCK_PINS プロパティは Vivado 配線で使用されますが、 タイ ミ ングを改善できるよ うな場合でも、 ロ ッ ク されて

いる LUT でのピン マッピングは変更されません。 LOCK_PINS は指定配線でも重要です。 指定配線によ り接続され

ているピンが別のピンとスワップされる場合、 指定配線はその LUT の接続と一致しなくな り、 エラーになり ます。

指定配線ネッ トによ り駆動される LUT セルすべてのピンは、 LOCK_PINS を使用してロ ッ ク しておく必要があ り ま

す。 指定配線の詳細は、 『Vivado Design Suite ユーザー ガイ ド : インプリ メンテーシ ョ ン』 (UG904) [参照 20] を参照し

てください。

注記: DONT_TOUCH は LOCK_PINS を暗示しません。

phys_opt_design -critical_pin_opt コマンドで最適化を実行する場合、 LOCK_PINS プロパティが設定され

ているセルは最適化されず、 LOCK_PINS で指定されているピン マッピングは保持されます。 phys_opt_design コマンドの詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 13] を参照してください。

LOCK_PINS プロパティをセルから削除する と、 ピン マップが消去され、 ピンを自由にスワップできるよ うにな り

ます。 ただし、 現在のピン割り当ては変更されません。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• LUT セル (get_cells)

• LOCK_PINS {I0:A6 I1:A5}: 1 つまたは複数のピン マッピング ペア。 論理ピンと物理ピンのペアを使用し

て、 LUT 論理ピンが LUT 物理ピンに割り当てられます。

° LOCK_PINS の値にはピン マッピングを順不同にリ ス トでき、 HDL の場合はカンマで、 XDC の場合はホワ

イ ト スペースで区切り ます。

Page 266: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 266UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

° インスタンス ピンの範囲は LUT1 の場合は I0、 LUT6 の場合は I0 から I5 までになり ます。 物理ピンの範囲

は、 LUT6 の場合は A6 (最速) から A1 まで、 LUT5 の場合は A5 (最速) から A1 までになり ます。

ヒン ト : ISE でサポート されている ALL の値、 または ALL を暗示する値なしは、 Vivado Design Suite ではサポート さ

れていません。 すべてのピンをロ ッ クするには、 各ピンを明示的に指定する必要があ り ます。 リ ス ト されていない

論理ピンは、 デフォルトのマッピングで物理ピンにマップされます。

構文

Verilog 構文

LOCK_PINS の値は Verilog 属性と して、 インスタンシエート された LUT セル (LUT6、 LUT5 など) に配置して割り当

てるこ とができます。

次の例は、 LOCK_PINS を使用して、 I1 を A5 に、 I2 を A6 にピン マップし、 LUT_inst_0 という LUT セルに配置して

います。

(* LOCK_PINS = "I1:A5, I2:A6" *) LUT6 #(.INIT(64'h1) ) LUT_inst_0 (. ..

Verilog 例

module top ( i0, i1, i2, i3, i4, i5, o0); input i0; input i1; input i2; input i3; input i4; input i5; output o0;

(* LOCK_PINS = "I1:A5,I2:A6" *) LUT6 #( .INIT(64'h0000000000000001)) LUT_inst_0 (.I0(i0), .I1(i1), .I2(i2), .I3(i3), .I4(i4), .I5(i5), .O(o0));endmodule

VHDL 構文

LOCK_PINS の値は VHDL 属性と して、 インスタンシエート された LUT セル (LUT6、 LUT5 など) に配置して割り当

てるこ とができます。

Page 267: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 267UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

次の例は、 LOCK_PINS を使用して、 I1 を A5 に、 I2 を A6 にピン マップし、 LUT_inst_0 という LUT セルに配置して

います。

attribute LOCK_PINS : string;attribute LOCK_PINS of LUT_inst_0 : label is "I1:A5, I2:A6";...

VHDL の例

entity top is port ( i0, i1, i2, i3, i4, i5 : in std_logic; o0 : out std_logic);end entity top;

architecture struct of top is

attribute lock_pins : string; attribute lock_pins of LUT_inst_0 : label is "I1:A5, I2:A6";

begin LUT_inst_0 : LUT6 generic map ( INIT => "1" ) port map ( I0 => i0, I1 => i1, I2 => i2, I3 => i3, I4 => i4, I5 => i5, O => o0 );end architecture struct;

XDC 構文

Vivado Design Suite で set_property という Tcl コマンドを使用し、 LUT セルに LOCK_PINS プロパティを設定できま

す。

set_property LOCK_PINS {pin pairs} [get_cells instance_name]

説明:

• instance_name には LUT セルが 1 つまたは複数入り ます。

重要: XDC の場合は Tcl リ ス ト構文に合わせるため、 ピン ペアをホワイ ト スペースで区切る必要があ り ますが、

HDL 構文の場合はカンマで値を区切る必要があ り ます。

XDC の構文例

% set myLUT2 [get_cells u0/u1/i_365]% set_property LOCK_PINS {I0:A5 I1:A6} $myLUT2% get_property LOCK_PINS $myLUT2I0:A5 I1:A6% reset_property LOCK_PINS $myLUT2% set myLUT6 [get_cells u0/u1/i_768]

Page 268: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 268UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

% set_property LOCK_PINS I0:A6 ; # mapping of I1 through I5 are dont-cares

影響を受けるフローの段階

• phys_opt_design

• route_design

関連項目

147 ページの 「BEL」

194 ページの 「DONT_TOUCH」

263 ページの 「LOC」

Page 269: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 269UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

LOCK_UPGRADE以前のリ リースで検証済みの IP をアップグレードするのは望まし くない場合があ り ます。 この場合、 ブロ ッ ク デザ

イン内の一部の IP のみを選択的にアップグレードするこ とが可能です。 ただし、 この機能には制限があるので、 そ

れを理解しておく必要があ り ます。 このセクシ ョ ンでは、 IP を選択的にアップグレードする手順、 必要条件、 選択

的にアップグレード した場合の結果、 およびこの機能の制限を説明します。

LOCK_UPGRADE プロパティをブロ ッ ク デザインの特定のセルまたは IP を指定する と、 それらのセルや IP がアッ

プグレード されないよ うにできます。

たとえば、 前のリ リースで IP を検証済みで、 必要な出力ファイルがすべてあるので、 最新バージ ョ ンの IP にアップ

グレード しないでそのままの内容で使用する場合などに指定します。 LOCK_UPGRADE プロパティを使用する と、

特定の IP をアップグレード されないよ うにできます。

ただし、 この機能には制限があるので、 それを理解しておく必要があ り ます。 このフローの要件については、

『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 27] の「ブロ ッ ク デザインの IP を選択的にアップグレード」 セクシ ョ ンを、 制限については 「ブロ ッ ク デザインの IP を選

択的にアップグレードする際の制限」 セクシ ョ ンを参照してください。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• ブロ ッ ク図のセル (get_bd_cells)

• TRUE | 1: 指定したブロ ッ ク デザイン セルまたは IP をロ ッ ク して、 ブロ ッ ク デザインの残りの部分の一部と

してアップグレード されないよ うにします。

• FALSE | 0: ブロ ッ ク デザイン セルをロ ッ ク しません (デフォルト )。

構文

Verilog および VHDL 構文

該当なし

XDC 構文

set_property LOCK_UPGRADE <TRUE | FALSE> [get_bd_cells cell_name]

XDC の例

set_property LOCK_UPGRADE 1 [get_bd_cells /axi_ethernet_0]

Page 271: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 271UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

LUTNMLUTNM プロパティを使用する と、 2 つの特定の互換性がある LUT プリ ミティブに同じグループ名 (<group_name>) を割り当てるこ とで、 同じ LUT に配置できます。

LUT に使用可能な箇所が少ない場合、 Vivado 配置でデザインに問題なく フ ィ ッ トするよ うに、 LUT インスタンスの

ペアが 1 つの LUT に自動的にまとめられるこ とがあ り ます。特定の LUT の LUTNM プロパティに DISABLED 値を使

用する と、 Vivado 配置プログラムでこれらがほかの LUT と ま とめられないよ うに設定するこ と も可能です。 これ

は、 後続の ECO フローでプローブを変更できるよ うにデバッグ ILA および VIO コアで LUT がまとめられないよ う

にする と きに便利です。 ECO フローの詳細は、 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908) [参照 23] のこのセクシ ョ ンを参照してください。

HLUTNM と LUTNM の相違点

ヒント : HLUTNM と LUTNM の目的は類似しているので、 同じ階層で使用される場合はそれぞれ異なる値を設定す

る必要があ り ます。 LUTNM と HLUTNM の値が同じ LUT は Vivado の配線プログラムでま とめられるか、 または値

に関して警告メ ッセージが表示されます。

• 異なる階層にある場合も含め、 デザインのどこかに存在する 2 つの LUT コンポーネン ト をま とめるには、

LUTNM を使用します。

• 階層モジュールのインスタンスが複数デザインに含まれる場合は、 HLUTNM を使用して、 その階層モジュール

の LUT コンポーネン ト をま とめます。

° HLUTNM は各階層に 1 つしか使用できません。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• CLB LUT セル (get_cells)

Page 272: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 272UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

• <group_name>: 同じ LUT6 サイ トに指定の LUT を含める と きの名前を指定します。

• DISABLED: 配置プログラムで指定の LUT が別の LUT と ま とめられないよ うにします。

構文

Verilog 構文

Verilog 属性は LUT のインスタンシエーシ ョ ン直前に配置します。 Verilog 属性は、 同じ論理階層のペアで使用する必

要があ り ます。

(* LUTNM = "group_name" *)

Verilog の構文例

// Designates state0_inst to be placed in same LUT6 as state1_inst// LUT5: 5-input Look-Up Table with general output (Mapped to a LUT6)(* LUTNM = "LUT_group1" *) LUT5 #( .INIT(32'ha2a2aea2) // Specify LUT Contents ) state0_inst ( .O(state_out[0]), // LUT general outpu .I0(state_in[0]), // LUT input .I1(state_in[1]), // LUT input .I2(state_in[2]), // LUT input .I3(state_in[3]), // LUT input .I4(state_in[4]) // LUT input ); // End of state0_inst instantiation // LUT5: 5-input Look-Up Table with general output (Mapped to a LUT6) // Virtex-7 // Xilinx HDL Language Template, version 2014.1 (* LUTNM = "LUT_group1" *) LUT5 #( .INIT(32'h00330073) // Specify LUT Contents ) state1_inst ( .O(state_out[1]), // LUT general output .I0(state_in[0]), // LUT input .I1(state_in[1]), // LUT input .I2(state_in[2]), // LUT input .I3(state_in[3]), // LUT input .I4(state_in[4]) // LUT input ); // End of state1_inst instantiation

Page 273: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 273UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute LUTNM : string;

インスタンシエート済みインスタンスの場合は、 次のよ うに指定します。

attribute LUTNM of instance_name : label is "group_name";

説明:

• instance_name は CLB の LUT インスタンスです。

• group_name は LUTNM プロパティに割り当てる名前です。

VHDL 属性は、 同じ論理階層のペアで使用する必要があ り ます。

VHDL の構文例

-- Designates state0_inst to be placed in same LUT6 as state1_instattribute LUTNM : string;attribute LUTNM of state0_inst : label is "LUT_group1";attribute LUTNM of state1_inst : label is "LUT_group1";begin -- LUT5: 5-input Look-Up Table with general output (Mapped to SLICEM LUT6)state0_inst : LUT5

generic map ( INIT => X"a2a2aea2") -- Specify LUT Contents port map ( O => state_out(0), -- LUT general output I0 => state_in(0), -- LUT input I1 => state_in(1), -- LUT input I2 => state_in(2), -- LUT input I3 => state_in(3), -- LUT input I4 => state_in(4) -- LUT input ); -- End of state0_inst instantiation -- LUT5: 5-input Look-Up Table with general output (Mapped to SLICEM LUT6) -- Virtex-7 -- Xilinx HDL Language Template, version 2014.1 State1_inst : LUT5 generic map ( INIT => X"00330073") -- Specify LUT Contents port map ( O => state_out(1), -- LUT general output I0 => state_in(0), -- LUT input I1 => state_in(1), -- LUT input I2 => state_in(2), -- LUT input I3 => state_in(3), -- LUT input I4 => state_in(4) -- LUT input ); -- End of state1_inst instantiation

Page 274: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 274UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

XDC 構文

set_property LUTNM group_name [get_cells instance_name]

説明:

• group_name は LUTNM プロパティに割り当てる名前です。

• instance_name は CLB の LUT インスタンスです。

XDC の構文例

# Designates state0_inst LUT5 to be placed in same LUT6 as state1_instset_property LUTNM LUT_group1 [get_cells U1/state0_inst]set_property LUTNM LUT_group1 [get_cells U2/state1_inst]

ディスエーブルにする XDC の例

set_property LUTNM "DISABLED" [get_cells -of \[get_pins -leaf -filter DIRECTION==IN -of [get_pins ila_0/probe*]]]

影響を受けるフローの段階

• link_design

• place_design

関連項目

223 ページの 「HLUTNM」

Page 275: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 275UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

LUT_REMAPopt_design -remap オプシ ョ ンを使用する と、 複数の LUT を 1 つの LUT にまとめてロジッ クの深さを削減でき

ます。 リマップ最適化では、 異なる論理レベルにある LUT を 1 つの LUT に統合するこ と もできます。

リ マップされたロジッ クは、 ロジッ ク コーンの最もダウンス ト リームの LUT に統合されます。

LUT_REMAP プロパティをシーケンシャルな LUT ペアに適用する と、 LUT を選択的にリマップして、 opt_design でそれらを 1 つの LUT に統合されるよ うにできます。LUT のチェーンに対して LUT_REMAP プロパティを設定する

と、 可能な限り ロジッ ク レベル数が削減されます。

ヒン ト : LUT_REMAP プロパティを FALSE に設定しても、 opt_design を -remap オプシ ョ ンを指定して実行した

場合はリマップは実行されます。 LUT がリマップされないよ うにするには、 DONT_TOUCH プロパティの値を TRUE に設定してください。

最適化の詳細は、 『Vivado Design Suite ユーザー ガイ ド : インプリ メンテーシ ョ ン』 (UG904) [参照 20] を参照してくだ

さい。

アーキテクチャ サポート

• すべてのアーキテクチャ。

適用可能なオブジェク ト

• LUT セル (get_cells)

• TRUE | 1

° opt_design -remap を実行した場合は、 LUT_REMAP プロパティの値が TRUE でも効果はあ り ません。

° opt_design -remap を実行しない場合は、セルの LUT_REMAP プロパティの値が TRUE に設定されてい

る と、 opt_design 中にこれらのセルに対してのみ LUT リ マップが実行されます。

• FALSE | 0: この設定でも LUT のリマップは阻止されないので、 効果はあ り ません。

構文

Verilog および VHDL 構文

該当なし

XDC 構文

set_property LUT_REMAP <value> <objects>

XDC の構文例

次のコマンドを使用する と、 LUT プロパティを特定の LUT プリ ミティブに割り当てられます。

Page 276: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 276UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

set_property LUT_REMAP 1 [get_cells usbEngine*/* -filter {ref_name =~ LUT*}]

影響を受けるフローの段階

• ロジッ ク最適化 (opt_design)

関連項目

154 ページの 「CARRY_REMAP」

194 ページの 「DONT_TOUCH」

284 ページの 「MUXF_REMAP」

Page 277: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 277UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

LVDS_PRE_EMPHASISUltraScale では、 伝送ラインで高周波ロスが発生する高周波信号のシグナル インテグ リティを改善するため、

LVDS_PRE_EMPHASIS プロパティが使用されます。

LVDS ト ランス ミ ッ ターのプリエンファシスは、 特定の I/O 規格をインプリ メン トする ド ライバーの伝送ライン ロス

を補うため、 信号遷移で電圧をブース ト します。 DDR4 HP I/O バンクおよび LVDS TX HP/HR I/O バンクのプリエン

ファシスは、 シンボル間の干渉を低減し、 伝送ライン ロスの影響を最低限に抑えるために使用できます。

ヒン ト : ト ランス ミ ッ ターのプリエンファシスは、 レシーバーの 「EQUALIZATION」 と組み合わせる と、 全体的なシ

グナル インテグ リティを向上できます。

ト ランス ミ ッ ターのプリエンファシスは、 レシーバー側のシグナル インテグ リティにも重要です。 プリエンファシ

スによ り信号エッジ レートが上がり、 周辺信号のクロス トーク も増加します。

プリエンファシスの影響は伝送ライン特性に依存しているため、 影響が最小限であるこ とを確認するにはシ ミ ュ

レーシ ョ ンが必要です。 エンファシスが大き過ぎる と、 信号の質は改善されるよ りむしろ悪化する可能性があ り ま

す。

LVDS_PRE_EMPHASIS=TRUE および LVDS_PRE_EMPHASIS=FALSE を使用する と、 2 つの異なる I/O 規格になり、 1 つの I/O バンクに配置できなくなるので、 report_drc 中に次の配置デザイン ルール違反が発生する可能性があ り

ます。

ERROR: [DRC 23-20] Rule violation (DIFFSTDLIMIT-1) Too many true differential output standards in bank.

アーキテクチャ サポート

UltraScale デバイス。

適用可能なオブジェク ト

• ポート (get_ports)

• TRUE: 差動入力および双方向バッファーのプリエンファシスをイネーブルにし、 LVDS I/O をインプリ メン ト し

ます。 TRUE に設定する場合は、 TX_BITSLICE の ENABLE_PRE_EMPHASIS プロパティ も TRUE に設定する必

要があ り ます。

• FALSE: プリエンファシスをイネーブルにしません (デフォルト )。

構文

Verilog および VHDL 構文

該当なし

Page 278: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 278UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

XDC 構文

XDC ファ イルでは LVDS_PRE_EMPHASIS 属性を次のよ うな構文で使用します。

set_property LVDS_PRE_EMPHASIS <TRUE|FALSE> [get_ports port_name]

説明:

• set_property LVDS_PRE_EMPHASIS は、 ト ランス ミ ッ ターでプリエンファシスをイネーブルにします。

• port_name は差動バッファーに接続される出力ポート または双方向ポートです。

関連項目 203 ページの 「EQUALIZATION」

306 ページの 「PRE_EMPHASIS」

Page 279: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 279UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

MARK_DEBUGハードウェア デバッグ用に合成中にネッ ト を保持するよ うにするには、 MARK_DEBUG を使用する と、 最適化によ

り指定信号の名前が削除されてしまったり、 変更されたりする可能性を防ぐこ とができます。 MARK_DEBUG が設

定されている信号の値は、 ハード ウェア デバッグ中に簡単に監視できます。

MARK_DEBUG では、 「DONT_TOUCH」、 「KEEP」、 または 「KEEP_HIERARCHY」 プロパティ同様に最適化が実行

されないよ うにします。 また、 デバッグにマーク されている信号に接続されている階層モジュールの最適化にも影

響します。 このプロパティで解析およびデバッグがしやすくな り ますが、 最適化が制限されるので、 デザインが大

き く、 遅くなってしま う こ とがあ り ます。 このため、 MARK_DEBUG は、 デザインのタイ ミ ング ク リ ティカルなエ

リ アには慎重に使用してください。 また、 デザインの同期ポイン トにのみ適用して、 エ リ アと消費電力の増加およ

びタイ ミ ング ク ロージャへの影響を抑えるよ うにして ください。

重要: 信号自体はデバッグにマーク されていないが、 この信号が接続されている階層モジュールがデバッグにマーク

されている信号に接続されている場合に最適化に影響してしま う場合があ り ます。

ほとんどの場合でデバッグするネッ ト を階層またはセルのピンで識別しますが、 MARK_DEBUG プロパティはネッ

トに割り当てる必要があ り ます。 このため、 MARK_DEBUG は get_nets および get_pins コマンドの両方を使用

して割り当てるこ とを推奨します。

set_property MARK_DEBUG true [get_nets –of [get_pins hier1/hier2/<flop_name>/Q]]

これでネッ トの名前または名前の変更にかかわらず、 特定のピンに接続されているネッ トに MARK_DEBUG プロパ

ティを割り当てるこ とができます。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• ネッ ト (get_nets)

° 内部配列にアクセス可能なネッ トすべて。

注記: 一部のネッ トには、 専用接続があるなど、 デバッグ目的で監視できないものがあ り ます。

• TRUE: デバッグ中に使用する信号を保持します。

• FALSE: 信号を保持しません (デフォルト )。

Page 280: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 280UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

構文

Verilog 構文

この属性を設定するには、 適切な Verilog 属性構文を最上位出力ポート宣言の前に配置します。

(* MARK_DEBUG = "{TRUE|FALSE}" *)

Verilog の構文例

// Marks an internal wire for debug in Vivado hardware manager(* MARK_DEBUG = "TRUE" *) wire debug_wire,

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute MARK_DEBUG : string;

VHDL 属性は次のよ うに指定します。

attribute MARK_DEBUG of signal_name : signal is “{TRUE|FALSE}”;

説明:

• signal_name は内部信号です。

VHDL の構文例

signal debug_wire : std_logic;attribute MARK_DEBUG : string;-- Marks an internal wire for debug in Vivado hardware managerattribute MARK_DEBUG of debug_wire : signal is “TRUE”;

XDC 構文

set_property MARK_DEBUG value [get_nets <net_name>]

<net_name> は信号名です。

XDC の構文例

# Marks an internal wire for debugset_property MARK_DEBUG TRUE [get_nets debug_wire]

影響を受けるフローの段階

• synth_design

• opt_design

• place_design

• Vivado ハードウェア マネージャー

Page 281: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 281UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

関連項目

194 ページの 「DONT_TOUCH」

253 ページの 「KEEP」

258 ページの 「KEEP_HIERARCHY」

Page 282: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 282UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

MAX_FANOUTMAX_FANOUT は、 Vivado 合成でレジスタおよび信号のファンアウ トの制限を設定します。 この設定値を超えた場

合は、 ド ライバーを複製する必要があ り ます。 値は整数で指定します。

MAX_FANOUT は、 グローバル合成オプシ ョ ン -fanout_limit のデフォルト値よ り も優先されます。 デフォルト

の制限値は、 [Tools] → [Settings] コマンドで表示される [Synthesis] ページ、 または synth_design コマンドの -fanout_limit オプシ ョ ンを使用して設定できます。

重要: MAX_FANOUT 属性は、 Vivado 合成中に適用されます。 -fanout_limit はツールのガイ ド ラインと してのみ

使用され、 厳密に適用されるわけではあ り ません。 ファンアウ ト を厳密に制御する必要がある場合は、

MAX_FANOUT を使用してください。 MAX_FANOUT は、 -fanout_limit オプシ ョ ンとは異なり、 制御信号にも

適用されます。 -fanout_limit オプシ ョ ンは制御信号 (セッ ト、 リセッ ト 、 ク ロ ッ ク イネーブルなど) には適用さ

れないので、 これらの信号を複製する必要がある場合は MAX_FANOUT を使用してください。

この属性は、 レジスタおよび組み合わせ信号にのみ機能します。 指定のファンアウ ト制限を超えないよ うにするた

め、 Vivado 合成で組み合わせ信号を駆動するレジスタまたはド ライバーが複製されます。 この属性は RTL または XDC で設定できます。

MAX_FANOUT は、 配置最適化中に、 ファンアウ トの大きいネッ ト を駆動するレジスタや、 ロードが離れて配置さ

れているネッ ト を駆動するレジスタ、 MAX_FANOUT プロパティ値が満たされていないネッ ト などを配置で複製で

きる場合にも適用されます。 ファンアウ ト最適化は配置フローの早期に実行され、 詳細な配置が開始される前にパ

スのタイ ミ ングがク リ ティカルになる可能性が低減されます。

MAX_FANOUT 値がネッ トの実際のファンアウ ト よ り も小さい場合は、 ネッ ト を複製するかど うかは評価されます

が、 タイ ミ ングが改善しない場合は最適化は実行されません。 複製後のファンアウ トが必ずしも MAX_FANOUT 制約の値になる とは限り ません。

アーキテクチャ

すべてのデバイス。

適用可能エレメン ト

• RTL のレジスタおよび組み合わせ信号および合成済みデザインのネッ ト オブジェク ト 。

• <Integer>: ファンアウ トの最大数を指定します。 この値を超えたと きにド ライバーが複製されます。

構文

Verilog 構文

信号

(* max_fanout = 50 *) reg sig1;

Page 283: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 283UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

VHDL 構文

signal sig1 : std_logic;

attribute max_fanout : integer;

attribute max_fanout of sig1: signal is 50;

XDC 構文

set_property MAX_FANOUT <number> [get_nets -hier <net_name>]

影響を受けるフローの段階

• synth_design

• place_design

Page 284: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 284UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

MUXF_REMAPopt_design -muxf_remap オプシ ョ ンを使用する と、 MUXF7、 MUXF8、 および MUXF9 プリ ミティブが LUT3 に変換されて、 配線の密集が削減できます。

このプロパティは、 LUT_REMAP プロパティ と同様に動作します。 MUXF* で true に設定される と、 opt_design 中に MUX リ マップ最適化が自動的にト リガーされ、 これらのセルが LUT3 にマップされます。

LUT_REMAP プロパティ との違いは、 MUXF_REMAP プロパティを FALSE にして各 MUXF セルに設定する と、

-muxf_remap 最適化の範囲を制限するこ と もできる点です。 MUXF でこのプロパティを FALSE に設定する と、

opt_design -muxf_remap コマンドを実行したと きに、 それらの MUXF セルは LUT3 にマップされません。

最適化の詳細は、 『Vivado Design Suite ユーザー ガイ ド : インプリ メンテーシ ョ ン』 (UG904) [参照 20] を参照してくだ

さい。

アーキテクチャ サポート

• すべてのアーキテクチャ。

適用可能なオブジェク ト

• MUXF セル (get_cells)

• TRUE | 1

° opt_design -mux_remap を実行する場合は、 MUXF_REMAP プロパティの値が TRUE でも効果はあ り

ません。

° opt_design -mux_remap を実行しない場合は、 セルの MUXF_REMAP プロパティの値が TRUE に設定

されている と、 opt_design 中にこれらのセルに対してのみ MUX リ マップが実行されます。

• FALSE | 0

° opt_design -mux_remap を実行する場合は、 MUXF_REMAP プロパティの値が FALSE に設定されてい

る と、 指定した MUX はリマップされません。

° opt_design -mux_remap を実行しない場合は、 MUXF_REMAP プロパティの値が FALSE でも効果はあ

り ません。

構文

Verilog および VHDL 構文

該当なし

XDC 構文

set_property MUXF_REMAP <value> <objects>

Page 285: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 285UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

XDC の構文例

次の例の場合、 指定した MUXF プリ ミティブに MUXF_REMAP プロパティに FALSE を指定して、 opt_design

-mux_remap コマンドが使用される際にこれらのセルがリマップされないよ うにな り ます。

set_property MUXF_REMAP 0 [get_cells -hier \-filter {name =~ cpu* && ref_name =~ MUXF*}]

影響を受けるフローの段階

• ロジッ ク最適化 (opt_design)

関連項目

154 ページの 「CARRY_REMAP」

275 ページの 「LUT_REMAP」

Page 286: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 286UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

ODTODT (On-Die Termination) プロパティは、 サポート されている I/O 規格の DCI (digitally controlled impedance) および非 DCI バージ ョ ンの両方に対し、 ODT の値を定義するのに使用します。 外部抵抗の代わりに ODT を使用する利点は、

レシーバー側のスタブを完全に除去するこ とでシグナル インテグ リティが改善される点です。

重要: 7 シ リーズ FPGA の場合、 キャ リブレーシ ョ ンされない終端を指定するには、 ODT の代わりに 「IN_TERM」 を使用します。

ODT は、 HSTL、 SSTL、 POD、 および HSUL 規格の入力で分割終端またはシングル終端をサポート しています。 I/O バンクの VCCO は、 予期どおりの動作を得るため、 ODT 属性に合わせた電圧レベルに接続しておく必要があ り ます。

特定 I/O 規格に必要な VCCO レベルに関しては、 『UltraScale アークテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571) [参照 8] を参照してください。

パラレル終端をサポートする I/O 規格の場合は、 DCI は VCCO/2 の電圧レベルのテブナン等価抵抗または分割終端抵

抗を作成します。 POD および HSUL 規格の場合は、 DCI は VCCO 電圧レベルのシングル終端をサポート しています。

終端抵抗の正確な値は ODT の値によって決ま り ます。 分割抵抗の DCI に使用可能な ODT 値は、 RTT_40、 RTT_48、RTT_60、 RTT_NONE です。

注記: DCI はハイ パフォーマンス (HP) I/O バンクでのみ使用可能です。 ハイ レンジ (HR) I/O バンクでは DCI はサ

ポート されていません。

HR および HP の I/O バンクの両方で、 オプシ ョ ンのキャ リブレーシ ョ ンなしオンチップ分割終端機能があ り ます。

この機能を使用する と、 HSTL および SSTL 規格のターゲッ ト抵抗値の 2 倍の抵抗値を持つ 2 つの内部抵抗を使用し

てテブナン等価回路を作成できます。 また、 POD および HSUL の I/O 規格には、 キャ リブレーシ ョ ンなしのオン

チップ シングル終端機能を使用できます。 終端は常に入力付けられ、 また出力バッファーが ト ライステートになっ

ている場合は双方向ポートに付けられます。 DCI ベースの I/O 規格を使用するこ とによ り、 デザインで DCI または

キャ リブレーシ ョ ンなしの終端のどちらが使用されるかが決ま り ます。 DCI とキャ リブレーシ ョ ンなしの両方の I/O 規格で、 終端抵抗の値は ODT 属性によって決ま り ます。

ト ラ イステート分割終端 DCI は VRN および VRP ピンの外部基準抵抗に対してキャ リブレーシ ョ ンされるのに対し

て、 ODT プロパティではキャ リブレーシ ョ ンされない分割終端オプシ ョ ンが使用されます。 このオプシ ョ ンでは、

温度、 プロセス、 電圧の変動を補正するキャ リブレーシ ョ ンがない内部抵抗が使用されます。

アーキテクチャ サポート

UltraScale デバイス。

Page 287: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 287UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

適用可能なオブジェク ト

• ポート (get_ports)

° 入力および双方向バッファーに接続されているもの。

• RTT_40

• RTT_48

• RTT_60

• RTT_120

• RTT_240

• RTT_NONE

注記: 使用可能な I/O 規格およびコンフ ィギュレーシ ョ ンすべてに対し、 すべての値が使用できるわけではあ り

ません。

構文

Verilog および VHDL 構文

該当なし

XDC 構文

XDC ファ イルでは ODT 属性を次のよ うな構文で使用します。

set_property ODT <VALUE> [get_ports port_name]

説明:

• set_property ODT は ODT をイネーブルにします。

• <value> には指定の IOSTANDARD に対しサポート されている ODT 値の 1 つが入り ます。

• port_name は差動バッファーに接続される入力ポート または双方向ポートです。

関連項目

229 ページの 「IN_TERM」

246 ページの 「IOSTANDARD」

Page 288: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 288UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

OFFSET_CNTRLレシーバー オフセッ ト制御である OFFSET_CNTRL は、 プロセス変動を補正するため、 UltraScale デバイスで一部の I/O 規格に対し使用できます。 OFFSET_CNTRL はハイ パフォーマンス (HP) I/O にのみ割り当てるこ とができます。

I/O 規格のサブセッ トの場合、 HP I/O バンクで、 ±35 mV までのプロセス変動が原因で発生する入力バッファーのオ

フセッ ト をキャンセルするオプシ ョ ンが UltraScale アーキテクチャにはあ り ます。

この機能は入力および双方向バッファー プリ ミ ティブに対し使用できます。

オフセッ ト キャ リブレーシ ョ ンでは、 ユーザーのインターコネク ト ロジッ ク デザインに制御ロジッ クを構築するこ

とが求められます。 詳細は、 『UltraScale アークテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571) [参照 8] を参照

してください。

アーキテクチャ サポート

UltraScale デバイス。

適用可能なオブジェク ト

• ポート (get_ports)

° 最上位ポート

OFFSET_CNTRL 属性に使用できる値は次のとおりです。

• CNTRL_NONE: オフセッ ト キャンセルをイネーブルにしません (デフォルト )。

• FABRIC: 任意の I/O バンクでオフセッ ト キャンセルを実行します。

重要: オフセッ ト キャンセルを実行するには、 デバイスにオフセッ ト制御回路が必要です。

Page 289: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 289UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

構文

Verilog および VHDL 構文

該当なし

XDC 構文

XDC ファ イルでは OFFSET_CNTRL 属性を次のよ うな構文で使用します。

set_property OFFSET_CNTRL <value> [get_ports port_name]

説明:

• set_property OFFSET_CNTRL はオフセッ ト キャンセル機能をイネーブルにします。

• <value> には有効な OFFSET_CNTRL 値のいずれかが入り ます。

• port_name は接続されている入力または双方向ポートです。

影響を受けるフローの段階

• 配置

• 配線

Page 290: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 290UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

PACKAGE_PINPACKAGE_PIN では、 デバイスの物理的なパッケージ ピンへの論理デザインの最上位ポートの割り当てまたは配置

を定義ます。

推奨: デバイス パッケージの物理的ピンに I/O ポート を割り当てるには、LOC ではなく PACKAGE_PIN プロパティを

使用します。 ターゲッ ト ザイ リ ンクス FPGA のデバイス リ ソースにロジッ ク セルを割り当てるには、 LOC プロパ

ティを使用します。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• ポート (get_ports)

° 最上位ポート

パッケージ ピン名

構文

Verilog 構文

Verilog 属性をポート宣言の直前に配置します。

(* PACKAGE_PIN = "pin_name" *)

Verilog の構文例

// Designates port CLK to be placed on pin B26(* PACKAGE_PIN = "B26" *) input CLK;

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute PACKAGE_PIN : string;

VHDL 属性は次のよ うに指定します。

attribute PACKAGE_PIN of port_name : signal is "pin_name";

VHDL の構文例

-- Designates CLK to be placed on pin B26attribute PACKAGE_PIN of CLK : signal is "B26";

Page 291: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 291UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

XDC 構文

set_property PACKAGE_PIN pin_name [get_ports port_name]

XDC の構文例

# Designates CLK to be placed on pin B26set_property PACKAGE_PIN B26 [get_ports CLK]

影響を受けるフローの段階

• ピン プランニング

• place_design

関連項目

263 ページの 「LOC」

Page 292: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 292UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

PATH_MODEPATH_MODE は、 ファ イルを見つける際またはパス ベースの制約またはプロパティを読み出す際に Vivado Design Suite でパスをどのよ うに処理するかを決定するプロパティです。

Vivado Design Suite は、 プロジェク トのすべてのファイル、 およびファイルとディ レク ト リ を参照するほとんどのプ

ロパティに対して、 ファ イルまたはディ レク ト リへの相対パスと絶対パスの両方を格納および保持しよ う と します。

プロジェク ト を開く と、 これらのパスを使用してファイルおよびディ レク ト リが検索されます。 デフォルトでは、

パスを検索するのにまず相対パスが使用され、 その後に絶対パスが使用されます。 PATH_MODE プロパティを使用

する と、 Vivado ツールでの指定したオブジェク トのファ イル パスまたはプロパティの処理方法を変更できます。

ヒン ト : 一部のパスでは、 特に Windows でパスのド ライブが異なる場合、 Vivado では相対パスが保持できません。 こ

れらの場合、 絶対パスのみが格納されます。

RelativeFirst または AbsoluteFirst 設定を使用する場合、 オブジェク トの検索に代替パスまたは 2 つ目のパスを使用す

る必要がある と きに Vivado ツールで警告が表示されます。

アーキテクチャ サポート

すべてのデバイス。

適用可能なオブジェク ト

• ソース ファ イル (get_files)

• RelativeFirst: プロジェク ト までの相対パスを使用してファイルを見つけます。 このパスを使用してファイ

ルを見つけるこ とができない場合は、 絶対パスを使用します。 これは、 デフォルトの値で、 ほとんどの場合に

適した方法です。

• AbsoluteFirst: 絶対パスを使用してファイルを見つけます。 ファ イルを見つけるこ とができない場合は、 相

対パスを使用します。 AbsoluteFirst または AbsoluteOnly は、 ファ イルが決まった リポジ ト リに格納されている場

合 (たとえば、 デザイン グループまたは企業内全員で使用される標準的なファイル) や IP のライブラ リに向いて

います。

• RelativeOnly: 相対パスのみを使用してファイルを見つけます。 ファ イルを見つけるこ とができない場合は、

それを示すメ ッセージが表示され、 ファ イルが存在しないものと して処理されます。 RelativeOnly または AbsoluteOnly 設定は、 同じファ イル名のファイルが複数あ り、 正しいファイルを必ず見つける必要のある場合

に向いています。

• AbsoluteOnly: 絶対パスのみを使用してファイルを見つけます。 ファ イルを見つけるこ とができない場合は、

それを示すメ ッセージが表示され、 ファ イルが存在しないものと して処理されます。

Page 293: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 293UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

構文

Verilog および VHDL 構文

該当なし

XDC 構文

set_property PATH_MODE AbsoluteFirst [get_files *IP/*]

影響を受けるフローの段階

• プロジェク ト管理およびファイルの位置

Page 294: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 294UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

PBLOCKPBLOCK は Vivado Design Suite で Pblock に割り当てられるセルに設定する読み取り専用のプロパティです。

Pblock とはセルの集合体で、 1 つまたは複数の長方形のエリア/領域を指し、 このエリ アで Pblock に含められるデバ

イス リ ソースを指定します。 Pblock は、 関連ロジッ クをグループにまとめて、 ターゲッ ト デバイスのある領域にそ

れを割り当てるため、 フロアプランニング中に使用されます。 デザインのフロアプランニングでの Pblock の使用に

関する詳細は、 『Vivado Design Suite ユーザー ガイ ド : デザイン解析およびクロージャ テクニッ ク』 (UG906) [参照 22] を参照してください。

Pblock は create_pblock Tcl コマンドを使用して作成し、 add_cells_to_pblock コマンドを使用してセルに追

加します。 次のコードで Pblock を定義します。

create_pblock Pblock_usbEngineadd_cells_to_pblock [get_pblocks Pblock_usbEngine] [get_cells -quiet [list usbEngine1]]resize_pblock [get_pblocks Pblock_usbEngine] -add {SLICE_X8Y105:SLICE_X23Y149}resize_pblock [get_pblocks Pblock_usbEngine] -add {DSP48_X0Y42:DSP48_X1Y59}resize_pblock [get_pblocks Pblock_usbEngine] -add {RAMB18_X0Y42:RAMB18_X1Y59}resize_pblock [get_pblocks Pblock_usbEngine] -add {RAMB36_X0Y21:RAMB36_X1Y29}

1 行目は Pblock を作成し、 それに名前を指定します。

2 行目は Pblock にロジッ ク セルを割り当てます。 この場合、 指定の階層モジュールにあるセルがすべて Pblock に割

り当てられます。 特定の Pblock に割り当てられたセルには PBLOCK プロパティが割り当てられます。

後続のコマンド resize_pblock は、 Pblock 内に含まれるデバイス リ ソースの範囲を指定して、 Pblock のサイズを

定義します。 Pblock には、 SLICE/CLB、 DSP48、 RAMB18、 RAMB36 という 4 つのデバイス リ ソース タイプのグ

リ ッ ドに分かれています。 これらのタイプに当てはまらないロジッ クは、 デバイスの任意の位置に配置できます。

特定の階層レベルにブロッ ク RAM のみを制約するには、 それ以外の Pblock グ リ ッ ドをディ スエーブルにします (または単に定義しない)。

上記の Tcl コマンドの詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 13] を参照して

ください。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• セル (get_cells)

• <NAME>: セルが割り当てられる Pblock の名前を指定します。 この Pblock 名は、 create_pblock コマンドで Pblock を作成する と きに定義します。

Page 295: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 295UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

構文

Verilog および VHDL 構文

該当なし

XDC 構文

Pblock は、 次の Tcl コマンドを使用して、 XDC ファ イルまたはデザインで直接定義できます。

create_pblock <pblock_name>

XDC の例

次のコードで Pblock を定義します。

create_pblock Pblock_usbEngineadd_cells_to_pblock [get_pblocks Pblock_usbEngine] [get_cells -quiet [list usbEngine1]]resize_pblock [get_pblocks Pblock_usbEngine] -add {SLICE_X8Y105:SLICE_X23Y149}resize_pblock [get_pblocks Pblock_usbEngine] -add {DSP48_X0Y42:DSP48_X1Y59}resize_pblock [get_pblocks Pblock_usbEngine] -add {RAMB18_X0Y42:RAMB18_X1Y59}resize_pblock [get_pblocks Pblock_usbEngine] -add {RAMB36_X0Y21:RAMB36_X1Y29}

影響を受けるフローの段階

• デザインのフロアプラン

• place_design

関連項目

147 ページの 「BEL」

178 ページの 「CONTAIN_ROUTING」

263 ページの 「LOC」

207 ページの 「EXCLUDE_PLACEMENT」

Page 296: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 296UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

POST_CRCPOST_CRC は、 コンフ ィギュレーシ ョ ン ロジッ クの巡回冗長検査 (CRC) というエラー検出機能のオン/オフを設定

し、 コンフ ィギュレーシ ョ ン メモ リへの変更があれば通知されるよ うにします。 この機能は、 7 シ リーズ FPGA でのみサポート されます。詳細は、『7 シ リーズ FPGA コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG470) [参照 1] を参

照してください。

ヒン ト : 代わりに、 すべてのアーキテクチャでザイ リ ンクス Soft Error Mitigation (SEM) IP を使用するこ とを推奨しま

す。 この IP では、 SEU (Single Event Upset) 検出および修正の実行が自動化されます。 詳細は、 『Soft Error Mitigation Controller LogiCORE IP 製品ガイ ド』 (PG036) [参照 28] を参照してください。

POST_CRC プロパティをイネーブルにする と、 ビッ ト ス ト リームにあらかじめ計算された CRC 値が生成されます。

コンフ ィギュレーシ ョ ン データ フレームが読み込まれる と、 デバイスはコンフ ィギュレーシ ョ ン データ パケッ ト

から CRC 値を計算します。 コンフ ィギュレーシ ョ ン データ フレームの読み込みが終了する と、 コンフ ィギュレー

シ ョ ン ビッ ト ス ト リームはデバイスに対し Check CRC 命令を出力し、それに続いてあらかじめ計算された CRC 値が

出力されます。 デバイスによ り計算された CRC 値がビッ ト ス ト リームの CRC の予期値に一致しないと、 デバイス

は INIT_B を Low にし、 コンフ ィギュレーシ ョ ンを中止します。

CRC がディ スエーブルの場合、 CRC 値の代わりに定数値がビッ ト ス ト リームに挿入され、 デバイスで CRC 値は算

出されません。

アーキテクチャ サポート

7 シ リーズ FPGA。

適用可能なオブジェク ト

• デザイン (current_design)

° 現在のインプリ メン ト済みのデザイン。

• DISABLE: Post CRC チェッ ク機能をディ スエーブルにします (デフォルト )。

• ENABLE: Post CRC チェッ ク機能をイエーブルにします。

構文

Verilog および VHDL 構文

該当なし

XDC 構文

set_property POST_CRC ENABLE | DISABLE [current_design]

Page 297: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 297UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

XDC の構文例

set_property POST_CRC Enable [current_design]

影響を受けるフローの段階

• write_bitstream

• launch_runs

関連項目

298 ページの 「POST_CRC_ACTION」

300 ページの 「POST_CRC_FREQ」

302 ページの 「POST_CRC_INIT_FLAG」

304 ページの 「POST_CRC_SOURCE」

Page 298: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 298UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

POST_CRC_ACTION POST_CRC_ACTION はコンフ ィギュレーシ ョ ン ロジッ ク CRC エラー検出モードに使用されます。 このプロパティ

は、 CRC の不一致が検出されたと きのデバイスの対処方法を決めるもので、 その対処方法には、 エラー訂正、 操作

実行、 コンフ ィギュレーシ ョ ン停止があ り ます。 この機能は、 7 シ リーズ FPGA でのみサポート されます。 詳細は、

『7 シ リーズ FPGA コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG470) [参照 1] を参照してください。

ヒン ト : 代わりに、 すべてのアーキテクチャでザイ リ ンクス Soft Error Mitigation (SEM) IP を使用するこ とを推奨しま

す。 この IP では、 SEU (Single Event Upset) 検出および修正の実行が自動化されます。 詳細は、 『Soft Error Mitigation Controller LogiCORE IP 製品ガイ ド』 (PG036) [参照 28] を参照してください。

リードバッ ク中、 シンド ローム ビッ トは毎フレーム計算されます。 シングル ビッ ト エラーが検出される と、 リード

バッ クはすぐに中止されます。 POST_CRC_ACTION を使用して訂正を有効にしている場合は、 リードバッ ク CRC ロジッ クによ りシングル ビッ ト エラーが訂正されます。 エラーのあるフレームは再度リードバッ ク され、 シンド

ローム情報を使用して、 エラー ビッ トが修正されてフレームに戻されます。 POST_CRC_ACTION が Correct_And_Continue に設定されている場合は、 リードバッ ク ロジッ クが最初のアドレスから再開始します。

Correct_And_Halt に設定されている場合は、 リードバッ ク ロジッ クは訂正後に停止します。

このプロパティは、 「POST_CRC」 が ENABLE に設定されている場合にのみ使用できます。

アーキテクチャ サポート

7 シ リーズ FPGA。

適用可能なオブジェク ト

• デザイン (current_design)

° 現在のインプリ メン ト済みのデザイン。

• HALT: CRC の不一致が検出される と、 ビッ ト ス ト リームのリードバッ ク、 比較 CRC の計算、 そしてあらかじめ

計算された CRC との比較が中止になり ます。

• CONTINUE: CRC の比較によ り CRC の不一致が検出される と、 ビッ ト ス ト リームのリードバッ ク、 比較 CRC の計算、 あらかじめ計算された CRC との比較は続行します。

• CORRECT_AND_CONTINUE: CRC の比較によ り CRC の不一致が検出される と、 それは訂正され、 ビッ ト ス ト

リームのリードバッ ク、 比較 CRC の計算、 あらかじめ計算された CRC との比較は続行します。

• CORRECT_AND_HALT: CRC の不一致が検出される と、 それは訂正され、 ビッ ト ス ト リームのリードバッ ク、 比

較 CRC の計算、 あらかじめ計算された CRC との比較は中止になり ます。

構文

Verilog および VHDL 構文

該当なし

Page 299: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 299UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

XDC 構文

set_property POST_CRC_ACTION <VALUE> [current_design]

説明:

• <VALUE> には POST_CRC_ACTION プロパティで使用可能な値の 1 つが入り ます。

XDC の構文例

set_property POST_CRC_ACTION correct_and_continue [current_design]

影響を受けるフローの段階

• write_bitstream

• launch_runs

関連項目

296 ページの 「POST_CRC」

300 ページの 「POST_CRC_FREQ」

302 ページの 「POST_CRC_INIT_FLAG」

304 ページの 「POST_CRC_SOURCE」

Page 300: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 300UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

POST_CRC_FREQPOST_CRC_FREQ は、 現在のデザインに対し、 コンフ ィギュレーシ ョ ン CRC チェッ クが実行する と きに使用する周

波数を設定します。 この機能は、 7 シ リーズ FPGA でのみサポート されます。 詳細は、 『7 シ リーズ FPGA コンフ ィ

ギュレーシ ョ ン ユーザー ガイ ド』 (UG470) [参照 1] を参照してください。

ヒン ト : 代わりに、 すべてのアーキテクチャでザイ リ ンクス Soft Error Mitigation (SEM) IP を使用するこ とを推奨しま

す。 この IP では、 SEU (Single Event Upset) 検出および修正の実行が自動化されます。 詳細は、 『Soft Error Mitigation Controller LogiCORE IP 製品ガイ ド』 (PG036) [参照 28] を参照してください。

このプロパティは、 「POST_CRC」 が ENABLE に設定されている場合にのみ使用できます。 POST_CRC プロパティを

イネーブルにする と、 ビッ ト ス ト リームのあらかじめ計算されている値と、 コンフ ィギュレーシ ョ ン メモ リ セルを

リードバッ クするこ とで計算される内部 CRC 値を周期的に比較できるよ うにな り ます。

POST_CRC_FREQ は、 リードバッ クの周波数を MHz で定義し、 デフォルト値は 1 MHz です。

アーキテクチャ サポート

7 シ リーズ FPGA。

適用可能なオブジェク ト

• デザイン (current_design)

° 現在のインプリ メン ト済みのデザイン。

• MHz で周波数を定数で指定します。 使用できる値は次のとおりです。

° 1、 2、 3、 6、 13、 25、 50

° デフォルト = 1 MHz

構文

Verilog および VHDL 構文

該当なし

Page 301: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 301UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

XDC 構文

set_property POST_CRC_FREQ <VALUE> [current_design]

説明:

• <VALUE> には POST_CRC_FREQ プロパティで使用可能な値の 1 つが入り ます。

XDC の構文例

set_property POST_CRC_FREQ 50 [current_design]

影響を受けるフローの段階

• write_bitstream

• launch_runs

関連項目

296 ページの 「POST_CRC」

298 ページの 「POST_CRC_ACTION」

302 ページの 「POST_CRC_INIT_FLAG」

304 ページの 「POST_CRC_SOURCE」

Page 302: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 302UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

POST_CRC_INIT_FLAGPOST_CRC_INIT_FLAG は、 SEU (Single Event Upset) エラー信号の出力と して INIT_B ピンをイネーブルにするかど

うかを決定します。 この機能は、 7 シ リーズ FPGA でのみサポート されます。 詳細は、 『7 シ リーズ FPGA コンフ ィ

ギュレーシ ョ ン ユーザー ガイ ド』 (UG470) [参照 1] を参照してください。

ヒン ト : 代わりに、 すべてのアーキテクチャでザイ リ ンクス Soft Error Mitigation (SEM) IP を使用するこ とを推奨しま

す。 この IP では、 SEU (Single Event Upset) 検出および修正の実行が自動化されます。 詳細は、 『Soft Error Mitigation Controller LogiCORE IP 製品ガイ ド』 (PG036) [参照 28] を参照してください。

エラー コンディシ ョ ンは常に FRAME_ECC サイ トから出力されます。 ただし、 POST_CRC_INIT_FLAG がイネーブ

ルになっている場合 (デフォルト )、 CRC エラーが発生する と INIT_B ピンでもエラーがフラグされます。

このプロパティは、 「POST_CRC」 が ENABLE に設定されている場合にのみ使用できます。

アーキテクチャ サポート

7 シ リーズ FPGA。

適用可能なオブジェク ト

• デザイン (current_design)

° 現在のインプリ メン ト済みのデザイン。

• DISABLE: INIT_B ピンを使用せず、 FRAME_ECC サイ トが CRC エラー信号のソースになり ます。

• ENABLE: INIT_B ピンはイネーブルになり、 これが CRC エラー信号のソースになり ます (デフォルト )。

構文

Verilog および VHDL 構文

該当なし

XDC 構文

set_property POST_CRC_INIT_FLAG ENABLE | DISABLE [curent_design]

XDC の構文例

set_property POST_CRC_INIT_FLAG Enable [current_design]

影響を受けるフローの段階

• write_bitstream

Page 303: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 303UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

• launch_runs

関連項目

296 ページの 「POST_CRC」

298 ページの 「POST_CRC_ACTION」

300 ページの 「POST_CRC_FREQ」

304 ページの 「POST_CRC_SOURCE」

Page 304: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 304UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

POST_CRC_SOURCEPOST_CRC_SOURCE は、 コンフ ィギュレーシ ョ ン メモ リへの変更通知用にコンフ ィギュレーシ ョ ン ロジッ ク CRC のエラー検出機能が使用される と きの、 CRC 値のソースを指定します。 この機能は、 7 シ リーズ FPGA でのみサ

ポート されます。詳細は、『7 シ リーズ FPGA コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG470) [参照 1] を参照して

ください。

ヒン ト : 代わりに、 すべてのアーキテクチャでザイ リ ンクス Soft Error Mitigation (SEM) IP を使用するこ とを推奨しま

す。 この IP では、 SEU (Single Event Upset) 検出および修正の実行が自動化されます。 詳細は、 『Soft Error Mitigation Controller LogiCORE IP 製品ガイ ド』 (PG036) [参照 28] を参照してください。

このプロパティは、 「POST_CRC」 が ENABLE に設定されている場合にのみ使用できます。 POST_CRC プロパティを

イネーブルにする と、 ビッ ト ス ト リームにあらかじめ計算された CRC 値が生成されます。 コンフ ィギュレーシ ョ ン データ フレームが読み込まれる と、デバイスはコンフ ィギュレーシ ョ ン データ パケッ トから CRC 値を計算します。

POST_CRC_SOURCE プロパティは、 CRC の予期値があらかじめ計算された値によるものなのか、 または最初のリー

ドバッ クのコンフ ィギュレーシ ョ ン データから得られるものなのかを定義します。

アーキテクチャ サポート

7 シ リーズ FPGA。

適用可能なオブジェク ト

• デザイン (current_design)

° 現在のインプリ メン ト済みのデザイン。

• PRE_COMPUTED: ビッ ト ス ト リームから CRC 値を決定します。

• FIRST_READBACK: この後繰り返される リードバッ クでの比較用に、 最初のリードバッ クから実際の CRC 値を

取得します。

構文

Verilog および VHDL 構文

該当なし

Page 305: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 305UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

XDC 構文

set_property POST_CRC_SOURCE FIRST_READBACK | PRE_COMPUTED [current_design]

XDC の構文例

set_property POST_CRC_SOURCE PRE_COMPUTED [current_design]

影響を受けるフローの段階

• write_bitstream

• launch_runs

関連項目

296 ページの 「POST_CRC」

298 ページの 「POST_CRC_ACTION」

300 ページの 「POST_CRC_FREQ」

302 ページの 「POST_CRC_INIT_FLAG」

Page 306: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 306UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

PRE_EMPHASISPRE_EMPHASIS プロパティは、 伝送ラインで高周波ロスが発生する高周波信号のシグナル インテグ リティを改善す

るために使用します。 ト ランス ミ ッ ター プリエンファシス (PRE_EMPHASIS) 機能によ り、 特定の I/O 規格の信号ド

ライバーにプリエンファシスを使用できるよ うにな り ます。

ヒン ト : ト ランス ミ ッ ターのプリエンファシスは、 レシーバーの 「EQUALIZATION」 と組み合わせる と、 全体的なシ

グナル インテグ リティを向上できます。

理想的な信号は、 周波数のシンボル間隔内でロジッ ク遷移を実行します。 ただしロスの多い伝送ラインではシンボ

ル間隔が長くなる可能性があ り ます。 伝送ライン ロスを踏まえ、 プ リエンファシスは遷移で電圧ゲインを提供しま

す。 周波数ド メ インでは、 プ リエンファシスによ りデータ ス ト リームの各遷移で高周波が引き上げられます。

プリエンファシスの選択は、 レシーバー側のシグナル インテグ リティにも重要です。 プリエンファシスによ り信号

エッジ レートが上がり、 周辺信号のクロス トーク も増加します。

プリエンファシスのクロス トークおよび信号不連続性の影響は伝送ライン特性に依存しているため、 影響が最小限

のものであるこ とを確認するにはシ ミ ュレーシ ョ ンが必要です。 エンファシスが大き過ぎる と、 信号の質は改善さ

れるよ りむしろ悪化する可能性があ り ます。

アーキテクチャ サポート

UltraScale アーキテクチャ。

適用可能なオブジェク ト

• ポート (get_ports)

PRE_EMPHASIS 属性に使用できる値は次のとおりです。

• RDRV_240: プリエンファシスをイネーブルにします。 イネーブルにする場合は、 TX_BITSLICE の ENABLE_PRE_EMPHASIS プロパティ も TRUE に設定する必要があ り ます。

• RDRV_NONE: ト ランス ミ ッ ターのプリエンファシスをイネーブルにしません (デフォルト )。

構文

Verilog および VHDL 構文

該当なし

XDC 構文

XDC ファ イルでは PRE_EMPHASIS 属性を次のよ うな構文で使用します。

set_property PRE_EMPHASIS value [get_ports port_name]

Page 307: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 307UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

説明:

• set_property PRE_EMPHASIS は、 ト ランス ミ ッ ターでプリエンファシスをイネーブルにします。

• port_name は差動バッファーに接続される出力ポート または双方向ポートです。

関連項目 203 ページの 「EQUALIZATION」

277 ページの 「LVDS_PRE_EMPHASIS」

Page 308: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 308UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

PROCESSING_ORDERPROCESSING_ORDER プロパティは、 Vivado Design Suite で XDC ファ イルが処理される順序を設定します。

PROCESSING_ORDER に指定できる値は、 EARLY、 NORMAL、 LATE のいずれかです。

デフォルトでは、 まず Vivado Design Suite で IP コアの XDC ファ イルが読み込まれ、 次に最上位デザインの制約ファ

イルセッ トに定義されているユーザー XDC ファ イルが読み込まれます。 この順序で処理する と、 IP コアに必要な制

約を IP に定義し、 後で処理されるユーザー制約でこれらの IP 制約を上書きできます。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 19] のこのセクシ ョ ンを参照してください。

制約ファイルのデフォルトの処理順序は次のとおりです。

1. EARLY とマーク されているユーザー制約

2. EARLY とマーク されている IP 制約 (デフォルト )

3. NORMAL とマーク されているユーザー制約

4. LATE とマーク されている IP 制約 (ク ロ ッ ク依存関係を含む)

5. LATE とマーク されているユーザー制約

共通の PROCESSING_ORDER が設定されているユーザー制約ファイルは、 制約セッ トに定義されている順序で処理

されます。 つま り Vivado IDE に表示されている順序で処理されます。 Vivado IDE でファイルのコンパイル順序を変

更するか、 reorder_files コマンドを使用する と、 このファ イルの処理順序を変更できます。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• 制約ファイル、 XDC または Tcl (get_files)

• EARLY: ほかの制約ファイルよ り も先に、 このファ イルを処理します。

• NORMAL: EARLY に設定されているファ イルの後、 LATE に設定されているファ イルの前に、 このファ イルを処

理します (デフォルト )。

• LATE: ほかの制約ファイルの後に、 このファ イルを処理します。

構文

Verilog および VHDL 構文

該当なし

XDC 構文

set_property PROCESSING_ORDER {EARLY | NORMAL | LATE} [get_files <filename>]

Page 309: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 309UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

説明:

• <filename> は XDC または Tcl ファ イルの名前になり ます。

XDC の構文例

set_property PROCESSING_ORDER EARLY [get_files char_fifo_ooc.xdc]

影響を受けるフローの段階

• 合成

• インプリ メンテーシ ョ ン

Page 310: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 310UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

PROHIBITPROHIBIT は、 BEL または SITE を配置に使用できないよ うに指定します。

ヒン ト : RAMB18 サイ トに PROHIBIT を使用しても、 RAMB36 の配置は禁止されません。 同様に、 RAMB36 サイ ト

に PROHIBIT を使用しても、 RAMB18 の配置は禁止されません。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• SITE (get_sites)

• BEL (get_bels)

• TRUE (または 1): 指定した BEL または SITE が配置中に使用されないよ うにします。

構文

Verilog および VHDL 構文

該当なし

XDC 構文

set_property PROHIBIT 1 [get_sites site]

XDC の構文例

# Prohibit the use of package pin Y32set_property prohibit 1 [get_sites Y32]

影響を受けるフローの段階

• I/O プランニング

• place_design

Page 311: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 311UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

PULLDOWN重要: PULLDOWN プロパティはサポート されなくな り ました。 「PULLTYPE」 に置き換えてください。

PULLDOWN はト ライステート出力または双方向ポートにウ ィーク Low を適用し、 フローティングしないよ うにし

ます。 このプロパティを使用する と、 確実にロジッ ク レベルを Low にでき、 ト ラ イステート ネッ トが駆動されてい

ないと きにフローティングしません。

入力バッファー (IBUF など)、 ト ラ イステート出力バッファー (OBUFT など)、 および双方向バッファー (IOBUF など

) には、 ウ ィーク プルアップ抵抗、 ウ ィーク プルダウン抵抗、 またはウ ィーク キーパー回路を含めるこ とができま

す。 バッファーに接続されているポート またはネッ ト オブジェク トに次のプロパティのいずれかを設定して、

PULLTYPE プロパティを追加する と、 この機能を実行できます。

• PULLUP

• PULLDOWN

• KEEPER

注記: このプロパティを適用する と、 PULLDOWN 機能が RTL シ ミ ュレーシ ョ ン中に表示されなくなるので、 RTL シミ ュレーシ ョ ンと インプリ メン ト済みデザイン間で機能的な差が生じるこ とがあ り ます。 この機能は、 ゲート レベ

ルのシ ミ ュレーシ ョ ン ネッ ト リ ス ト を使用して検証できます。 それ以外の場合は、 このプロパティを使用する代わ

りに、 PULLDOWN UNISIM をインスタンシエート して、 RTL シ ミ ュレーシ ョ ンにこの動作が示されるよ うにしま

す。

詳細は、 『Vivado Design Suite 7 シ リーズ FPGA および Zynq-7000 SoC ライブラ リ ガイ ド』 (UG953) [参照 25] および

『UltraScale アーキテクチャ ライブラ リ ガイ ド』 (UG974) [参照 26] を参照してください。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• ポート (get_ports): 最上位ポートに適用します。

• TRUE|YES: 駆動されていないと きに信号がフローティングしないよ うプルダウン回路を使用します。

• FALSE|NO: プルダウン回路を使用しません (デフォルト )。

構文

Verilog 構文

Verilog 属性をモジュールまたはインスタンシエーシ ョ ンの直前に配置します。 次のよ うに指定します。

(* PULLDOWN = " {YES|NO|TRUE|FALSE}" *)

Page 312: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 312UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute pulldown: string;

VHDL 属性は次のよ うに指定します。

attribute pulldown of signal_name : signal is “{YES|NO|TRUE|FALSE}”;

XDC 構文

set_property PULLDOWN {TRUE|FALSE} [get_ports port_name]

説明:

• port_name は、 入力、 出力、 入出力ポートの名前です。

XDC の構文例

# Use a pulldown circuitset_property PULLDOWN TRUE [get_ports wbWriteOut]

影響を受けるフローの段階

• 論理から物理へのマッピング

関連項目

261 ページの 「KEEPER」

315 ページの 「PULLUP」

Page 313: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 313UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

PULLTYPE重要: 「KEEPER」、 「PULLDOWN」、 および 「PULLUP」 プロパティはサポート されなくな り ました。 PULLTYPE プロ

パティに置き換えられています。

入力バッファー (IBUF など)、 ト ラ イステート出力バッファー (OBUFT など)、 および双方向バッファー (IOBUF など

) には、 ウ ィーク プルアップ抵抗、 ウ ィーク プルダウン抵抗、 またはウ ィーク キーパー回路を含めるこ とができま

す。 バッファーに接続されているポート またはネッ ト オブジェク トに次のプロパティのいずれかを設定して、

PULLTYPE プロパティを追加する と、 この機能を実行できます。

• PULLUP

• PULLDOWN

• KEEPER

注記: このプロパティを適用する と、 KEEPER、 PULLDOWN、 または PULLUP 機能が RTL シ ミ ュレーシ ョ ン中に表

示されなくなるので、 RTL シ ミ ュレーシ ョ ンと インプリ メン ト済みデザイン間で機能的な差が生じる可能性があ り

ます。 RTL シ ミ ュレーシ ョ ンにこの動作を反映させるには、 PULLTYPE プロパティを使用する代わりに、 オブジェ

ク ト を含む合成後のゲート レベル ネッ ト リ ス ト を使用するか、 デザインに適切な UNISIM オブジェク ト をインスタ

ンシエートする と、 この機能を検証できます。

差動入力または出力に対しては、 次のパラ メーターを設定し、 優先する終端ス ト ラテジを定義します。

set_param iconstr.diffPairPulltype { auto | same | opposite }

説明:

• AUTO: すべてのアーキテクチャでこれがデフォルトの値です。

° 7 シ リーズ デバイスの場合は、 差動ペアに最後に指定した PULLTYPE プロパティが優先されます。

° UltraScale および UltraScale+ アーキテクチャの場合は、 AUTO も OPPOSITE も同じです。

• SAME: 正も負も同じ値になり ます (PULLTYPE プロパティで定義されている値によ り ますが、 PULLUP または PULLDOWN になる )。

• OPPOSITE: PULLTYPE の設定にかかわらず、 P 側は PULLUP、 N 側は PULLDOWN になり ます。

詳細は、 『Vivado Design Suite 7 シ リーズ FPGA および Zynq-7000 SoC ライブラ リ ガイ ド』 (UG953) [参照 25] および

『UltraScale アーキテクチャ ライブラ リ ガイ ド』 (UG974) [参照 26] を参照してください。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• ポート (get_ports): 最上位ポートに適用します。

Page 314: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 314UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

• KEEPER: 指定したポートに接続されたネッ トの値を保持するためキーパー回路を使用します。

• PULLDOWN: 駆動されていないと きに信号がフローティングしないよ うプルダウン回路を使用します。

• PULLUP: 駆動されていないと きに信号がフローティングしないよ うプルアップ回路を使用します。

• {}: (NULL) キーパー、 プルダウン、 またはプルアップ回路を使用しません (デフォルト )。

構文

Verilog 構文

Verilog 属性をモジュールまたはインスタンシエーシ ョ ンの直前に配置します。 次のよ うに指定します。

(* PULLTYPE = " {KEEPER|PULLDOWN|PULLUP| }" *)

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute PULLTYPE: string;

VHDL 属性は次のよ うに指定します。

attribute PULLTYPE of signal_name : signal is “{KEEPER|PULLDOWN|PULLUP| }”;

XDC 構文

set_property PULLTYPE {KEEPER|PULLDOWN|PULLUP| } [get_ports port_name]

説明:

• port_name は、 入力、 出力、 入出力ポートの名前です。

XDC の構文例

set_property PULLTYPE PULLUP [get_ports wbWriteOut]

または

set_property PULLTYPE {} [get_ports wbWriteOut]

影響を受けるフローの段階

• 論理から物理へのマッピング

関連項目

261 ページの 「KEEPER」

311 ページの 「PULLDOWN」

315 ページの 「PULLUP」

Page 315: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 315UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

PULLUP重要: PULLUP プロパティはサポート されなくな り ました。 「PULLTYPE」 に置き換えてください。

PULLUP はト ライステート出力または双方向ポートにウ ィーク High を適用し、 フローティングしないよ うにしま

す。 ト ラ イステート ネッ トが駆動されていないと きにフローティングしないよ う、 ロジッ ク High に確約します。

入力バッファー (IBUF など)、 ト ラ イステート出力バッファー (OBUFT など)、 および双方向バッファー (IOBUF など

) には、 ウ ィーク プルアップ抵抗、 ウ ィーク プルダウン抵抗、 またはウ ィーク キーパー回路を含めるこ とができま

す。 バッファーに接続されているポート オブジェク トに次の値のいずれかを設定して、 PULLTYPE プロパティを追

加する と、 この機能を実行できます。

• PULLUP

• PULLDOWN

• KEEPER

注記: このプロパティを適用する と、 PULLUP 機能が RTL シ ミ ュレーシ ョ ン中に表示されなくなるので、 RTL シ ミ ュ

レーシ ョ ンと インプリ メン ト済みデザイン間で機能的な差が生じる可能性があ り ます。 この機能は、 ゲート レベル

のシ ミ ュレーシ ョ ン ネッ ト リ ス ト を使用して検証できます。 それ以外の場合は、 このプロパティを使用する代わり

に、 PULLUP UNISIM をインスタンシエート して、 RTL シ ミ ュレーシ ョ ンにこの動作が示されるよ うにします。

詳細は、 『Vivado Design Suite 7 シ リーズ FPGA および Zynq-7000 SoC ライブラ リ ガイ ド』 (UG953) [参照 25] および

『UltraScale アーキテクチャ ライブラ リ ガイ ド』 (UG974) [参照 26] を参照してください。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• ポート (get_ports): 最上位ポートに適用します。

• TRUE|YES: 駆動されていないと きに信号がフローティングしないよ うプルアップ回路を使用します。

• FALSE|NO: プルアップ回路を使用しません (デフォルト )。

構文

Verilog 構文

Verilog 属性をモジュールまたはインスタンシエーシ ョ ンの直前に配置します。 次のよ うに指定します。

(* PULLUP = " {YES|NO|TRUE|FALSE}" *)

Page 316: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 316UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute pullup: string;

VHDL 属性は次のよ うに指定します。

attribute pullup of signal_name : signal is “{YES|NO|TRUE|FALSE}”;

XDC 構文

set_property PULLUP {TRUE|FALSE} [get_ports port_name]

説明:

• port_name は、 入力、 出力、 入出力ポートの名前です。

XDC の構文例

set_property PULLUP TRUE [get_ports wbWriteOut]

影響を受けるフローの段階

• 論理から物理へのマッピング

関連項目

261 ページの 「KEEPER」

311 ページの 「PULLDOWN」

313 ページの 「PULLTYPE」

Page 317: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 317UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

RAM_DECOMPRAM_DECOMP プロパティでは、 タイ ミ ング効率が高いソ リ ューシ ョ ンの代わりに消費電力を抑えた設定を使用で

きるよ う、 ブロ ッ ク RAM プリ ミティブ 1 つにフ ィ ッ ト しきれない大型の RTL RAM が推論されます。

ヒン ト : このプロパティは、 RAM_STYLE で分散 RAM コンフ ィギュレーシ ョ ンが指定されたと きに影響しないよ う

に、 ブロ ッ ク RAM にのみ使用します。

たとえば、 2K x 36 と して指定された RAM は、 2 個の隣り合わせの 2K x 18 ブロ ッ ク RAM と してコンフ ィギュレー

シ ョ ンされるこ とがよ くあ り ます。 このコンフ ィギュレーシ ョ ンでは、 タイ ミ ングが最適な結果になり ます。 ただ

し、RAM_DECOMP プロパティを設定する と、RAM が 2 個の 1K x 36 ブロ ッ ク RAM と してコンフ ィギュレーシ ョ ン

されます。 このコンフ ィギュレーシ ョ ンは、 RAM の読み出しおよび書き込み中、 使用されるアドレスの RAM のみ

がアクティブになるので、 消費電力量を抑えるこ とができます。 ただし、 このコンフ ィギュレーシ ョ ンでは Vivado 合成でアドレス デコードを使用する必要があるため、 タイ ミ ング効率が落ちます。

この属性は、 RTL または XDC で設定できます。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• セル (get_cells): RAM セルに適用。

• power: RAM をタイ ミ ング効率ではなく消費電力効率の高い方法でコンフ ィギュレーシ ョ ンします。

重要: 合成でデフォルトの動作を復元するには、 RAM_DECOMP プロパティを削除する必要があ り ます。

構文

Verilog 構文

(* ram_decomp = “power” *) reg [data_size-1:0] myram [2**addr_size-1:0];

Page 318: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 318UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute ram_decomp : string;attribute ram_decomp of myram : signal is “power”;

XDC 構文

set_property ram_decomp power [get_cells myram]

影響を受けるフローの段階

• 合成

関連項目

319 ページの 「RAM_STYLE」

Page 319: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 319UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

RAM_STYLERAM_STYLE は、 合成でのメモ リの推論方法を指定します。 RAM のコーディング スタイルの詳細は、 『Vivado Design Suite ユーザー ガイ ド : 合成』 (UG901) [参照 18] のこのセクシ ョ ンを参照してください。

デフォルトでは、 ほとんどのデザインで最適な結果になるよ うに、 経験則に基づいて推論する RAM のタイプが選択

されます。 合成で特定の RAM タイプを推論するには、 この属性を RAM に宣言する配列または階層レベルに設定し

ます。 階層レベルに設定する と、 その階層レベルに含まれるすべての RAM に適用されます。 階層の入れ子レベルに

は適用されません。

このプロパティは、 RTL または XDC で設定できます。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• セル (get_cells): RAM セルに適用。

• block: ブロ ッ ク RAM タイプのコンポーネン トが推論されるよ う指定します。

• distributed: 分散 LUT RAM が推論されるよ う指定します。

• registers: RAM の代わりにレジスタが推論されるよ う指定します。

• ultra: UltraScale+ URAM プリ ミ ティブが使用されるよ う指定します。

重要: 合成でデフォルトの動作を復元するには、 RAM_STYLE プロパティを削除する必要があ り ます。

構文

Verilog 構文

(* ram_style = “distributed” *) reg [data_size-1:0] myram [2**addr_size-1:0];

VHDL 構文

attribute ram_style : string;attribute ram_style of myram : signal is "distributed";

XDC 構文

set_property ram_style distributed [get_cells myram]

Page 321: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 321UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

REF_NAMEREF_NAME は、 デザインのセルに設定する読み取り専用のプロパティで、 セルを識別する論理セル名を示します。

このプロパティは Vivado Design Suite によ り自動的に定義され、 HDL や XDC でユーザーが変更するこ とはできませ

ん。 参照目的のみに使用します。

このプロパティはデザイン フローには影響しませんが、 特定セルやほかのオブジェク ト を識別するためにフ ィル

ターおよび Vivado Tcl コマンド クエ リ を定義する と きに非常に便利です。

たとえば、 RAM セルのクロ ッ ク ピンを選択するには、 セルの REF_NAME プロパティに基づいてピン オブジェク ト

をフ ィルターできます。

get_pins -hier */*W*CLK -filter {REF_NAME =~ *RAM* && IS_PRIMITIVE}

ヒン ト : デザインで複数の RTL モジュールがインスタンシエート される場合、 各セルの識別子が固有になるよ うに合

成で元の REF_NAME プロパティに連番が付けられます。 この場合、 ORIG_REF_NAME プロパティを使用して元の RTL モジュール名 (REF_NAME) が保存されます。 このため、次のよ うに REF_NAME および ORIG_REF_NAME の両

方でそのセルのすべてのインスタンスを識別するよ う フ ィルターできます。

get_cells -hierarchical \

-filter {ORIG_REF_NAME == FifoBuffer || REF_NAME == FifoBuffer}

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• セル (get_cells)

該当なし

構文

該当なし

影響を受けるフローの段階

なし

Page 322: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 322UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

REF_PIN_NAMEREF_PIN_NAME は、 デザインのピンに設定する読み取り専用のプロパティで、 ピンを識別する論理セル名を示しま

す。

このプロパティ、 ピンの NAME または HIERARCHICAL NAME から自動的に定義され、 HDL や XDC でユーザーが

変更するこ とはできません。 参照目的のみに使用します。

このプロパティはデザイン フローには影響しませんが、 特定セルやほかのオブジェク ト を識別するためにフ ィル

ターおよび Vivado Tcl コマンド クエ リ を定義する と きに非常に便利です。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• ピン (get_pins)

該当なし

構文

該当なし

影響を受けるフローの段階

なし

Page 323: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 323UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

REG_TO_SRLレジスタ プリ ミ ティブのチェーンは、 REG_TO_SRL プロパティの値を true に設定するこ とによ り、 論理的に等価の SRL プリ ミ ティブに変換できます。 この変換は通常、 デバイス内で信号が長距離を移動するのに使用されるパイプ

ライン レジスタの段数を削減するために使用されます。 レジスタの段数が多すぎる と、 密集などの配置問題が発生

する可能性があ り ます。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• リーフ レベルのレジスタ インスタンス と してのセル (get_cells)。

• True (または 1): Vivado のロジッ ク最適化で指定したレジスタ プリ ミ ティブが SRL に変換されます。

• False (または 0): Vivado のロジッ ク最適化で指定したレジスタ プリ ミティブが SRL に変換されません。

構文

Verilog および VHDL 構文

該当なし

XDC 構文

set_property REG_TO_SRL <True | False> <objects>

このプロパティはデフォルトで FALSE になり ます。 オブジェク トはレジスタで、 同じ SRL に吸収されるレジスタは

リセッ ト なしで同じ制御セッ ト を共有する必要があ り ます。

XDC の例:

set_property REG_TO_SRL 1 [get_cells {cell1 cell2}]

影響を受けるフローの段階

• opt_design

関連項目

「SRL_TO_REG」

Page 324: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 324UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

RLOCRLOC 制約では、 H_SET、 HU_SET、 U_SET などのセッ トに割り当てられているロジッ ク エレ メン トの相対的な配

置を定義します。

RLOC が RTL ソース ファ イルに含まれる場合、 H_SET、 HU_SET、 U_SET プロパティは、 合成後のネッ ト リ ス トで

セルの読み取り専用 RPM プロパティに変換されます。 RLOC プロパティは保持されますが、 合成後には読み取り専

用プロパティになり ます。 これらのプロパティの使用および RPM の定義の詳細は、 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 19] を参照してください。

ヒン ト : 階層 RPM をビルドする際は、synth_design -flatten_hierarchy none を使用して RLOC プロパティ

が該当する階層レベルで保持されるよ うにする必要があ り ます。

ターゲッ ト デバイスへのセッ ト全体の実際の配置には関係なく、 セッ トに含まれるほかのエレ メン トに相対して

セッ ト内のエレ メン トの配置を定義できます。 たとえば、 RLOC 制約が 1 列にまとめられた 8 個のフ リ ップフロ ップ

から成るグループに適用されている場合、 マップ プログラムはその列を維持し、 1 つのユニッ ト と してフ リ ップフ

ロ ップのグループ全体を移動します。 それとは対照的に、 LOC 制約は、 ほかのデザイン エレ メン トへの参照なし

に、 ターゲッ ト デバイスにデザイン エレ メン トの絶対ロケーシ ョ ンを定義します。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• RTL ソース ファ イルのインスタンスまたはモジュール。

スライス ベースの XY 軸を使用して RLOC は指定されます。

RLOC=XmYn

説明:

• m は X 軸の値を示す整数です。

• n は Y 軸の値を示す整数です。

ヒン ト : RLOC 制約の X および Y の値はデザイン エレ メン ト間の順序および関連性を定義し、 ターゲッ ト デバイス

での絶対ロケーシ ョ ンを定義するものではないため、 これらの値は負の値になる場合があ り ます。

Page 325: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 325UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

構文

Verilog 構文

RLOC プロパティは、 RTL ソース ファ イルに含まれる H_SET、 HU_SET、 または U_SET で指定されるセッ ト内のデ

ザイン エレ メン トの相対配置を定義する Verilog 属性です。 Verilog 属性はロジッ ク エレ メン トのインスタンシエー

シ ョ ン直前に配置します。

(* RLOC = "XmYn", HU_SET = "h0" *) FD sr0 (.C(clk), .D(sr_1n), .Q(sr_0));

Verilog 例

これは、 ffs 階層モジュールでシフ ト レジスタ フ リ ップフロ ップの RLOC プロパティを定義する Verilog モジュール

です。

module inv (input a, output z);

LUT1 #(.INIT(2'h1)) lut1 (.I0(a), .O(z));

endmodule // inv

module ffs ( input clk, input d, output q );

wire sr_0, sr_0n; wire sr_1, sr_1n; wire sr_2, sr_2n; wire sr_3, sr_3n; wire sr_4, sr_4n; wire sr_5, sr_5n; wire sr_6, sr_6n; wire sr_7, sr_7n; wire inr, inrn, outr;

inv i0 (sr_0, sr_0n); inv i1 (sr_1, sr_1n); inv i2 (sr_2, sr_2n); inv i3 (sr_3, sr_3n); inv i4 (sr_4, sr_4n); inv i5 (sr_5, sr_5n); inv i6 (sr_6, sr_6n); inv i7 (sr_7, sr_7n); inv i8 (inr, inrn);

(* RLOC = "X0Y0" *) FD sr0 (.C(clk), .D(sr_1n), .Q(sr_0)); (* RLOC = "X0Y1" *) FD sr1 (.C(clk), .D(sr_2n), .Q(sr_1)); (* RLOC = "X0Y2" *) FD sr2 (.C(clk), .D(sr_3n), .Q(sr_2)); (* RLOC = "X0Y3" *) FD sr3 (.C(clk), .D(sr_4n), .Q(sr_3)); (* RLOC = "X0Y4" *) FD sr4 (.C(clk), .D(sr_5n), .Q(sr_4)); (* RLOC = "X0Y5" *) FD sr5 (.C(clk), .D(sr_6n), .Q(sr_5)); (* RLOC = "X0Y6" *) FD sr6 (.C(clk), .D(sr_7n), .Q(sr_6));

Page 326: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 326UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

(* RLOC = "X0Y7" *) FD sr7 (.C(clk), .D(inrn), .Q(sr_7)); (* LOC = "SLICE_X0Y0" *) FD inq (.C(clk), .D(d), .Q(inr)); FD outq (.C(clk), .D(sr_0n), .Q(outr));

assign q = outr; endmodule // ffs

ヒン ト : 上記の例では、 RLOC プロパティがあるので、 ffs 階層モジュールの FD インスタンスに H_SET プロパティが

使用されているこ とが暗示されています。

上記の例では、 ffs モジュールのインスタンスに KEEP_HIERARCHY プロパティを指定して、 合成されたデザインで

階層を保持し、 RPM を定義する必要があ り ます。

module top ( input clk, input d, output q );

wire c1, c2;

(* RLOC_ORIGIN = "X1Y1", KEEP_HIERARCHY = "YES" *) ffs u0 (clk, d, c1);(* RLOC_ORIGIN = "X3Y3", KEEP_HIERARCHY = "YES" *) ffs u1 (clk, c1, c2);(* RLOC_ORIGIN = "X5Y5", KEEP_HIERARCHY = "YES" *) ffs u2 (clk, c2, q);

endmodule // top

VHDL 構文

VHDL 制約は次のよ うに宣言します。

attribute RLOC: string;

VHDL 制約は次のよ うに指定します。

attribute RLOC of {component_name | entity_name | label_name} : {component|entity|label} is “XmYn”;

説明:

• {component_name | entity_name | label_name} にはデザイン エレ メン ト を指定します。

• {component|entity|label} は指定したデザイン エレ メン トのインスタンス ID です。

• XmYn は指定されたデザイン エレ メン トの RLOC 値を定義します。

Page 327: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 327UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

XDC 構文

RLOC プロパティは XDC 制約を使用しては定義できません。 RLOC プロパティは相対的に配置されたマクロ (RPM) のオブジェク トの相対ロケーシ ョ ンを定義し、 また合成されたデザインのネッ ト リ ス トでは読み取り専用の RPM および RLOC プロパティになり ます。

ヒン ト : デザインで RPM のよ うに動作するマクロ オブジェク ト を Vivado Design Suite で定義するには、

create_macro または update_macro を使用します。 このコマンドの詳細は、 『Vivado Design Suite Tcl コマンド リファレンス ガイ ド』 (UG835) [参照 13] を参照してください。

影響を受けるフローの段階

• 論理から物理へのマッピング

• place_design

• synth_design

関連項目

216 ページの 「H_SET および HU_SET」

324 ページの 「RLOC」

328 ページの 「RLOCS」

330 ページの 「RLOC_ORIGIN」

335 ページの 「RPM」

336 ページの 「RPM_GRID」

347 ページの 「U_SET」

Page 328: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 328UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

RLOCSRLOCS は、Vivado Design Suite の create_macro Tcl コマンドによ り作成された XDC マクロ オブジェク トに割り当

てられる読み取り専用のプロパティです。 update_macro コマンドで RLOCS プロパティがアップデート される と

それがマクロに割り当てられます。 このコマンドの詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 13] を参照してください。

RPM と同様に、 XDC マクロはセル グループを相対的に配置します。 マクロは多くの点で RPM の類似しています

が、 大きな違いもあ り ます。

• RPM は RTL ソース ファ イルで RLOC プロパティ と、 H_SET、 HU_SET、 または U_SET プロパティを組み合わ

せて定義されます。

• RPM は合成後のデザインでは変更できません。

• マクロは、 相対配置制約によ りグループ化された最下位セルから合成後に作成され、 変更可能です。

• RPM を自動的にマクロに変換するこ とはできません。

• RPM はデザイン オブジェク トではないので、 XDC マクロ コマンドは使用できません。

RLOCS プロパティには、 update_macro コマンドの rlocs 引数で指定された相対配置の値が反映されます。

"cell0 rloc0 cell1 rloc1 … cellN rlocN"

XDC マクロ オブジェク トに割り当てられている RLOCS プロパティを変更するには、 update_macro コマンドを使

用します。

RLOCS プロパティは XDC マクロの一部である個々のセルに対する RLOC プロパティに変換されます。 変換後は、

マクロのセルの相対配置を定義するこ とによ り、 RPM に対するのと同様に機能します。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• セル (get_cells)

• Cell1 RLOC1 Cell2 RLOC2 Cell3 RLOC3...: マクロのセルの名前と、 その相対ロケーシ ョ ンはペアにし

ます。

構文

Verilog および VHDL 構文

該当なし

Page 329: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 329UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

XDC 構文

XDC マクロが作成され、 セルおよび相対ロケーシ ョ ンが自動入力される と き、 RLOCS プロパティが間接的に定義さ

れます。

XDC の例

create_macro macro1update_macro macro1 {u1/sr3 X0Y0 u1/sr4 X1Y0 u1/sr5 X0Y1}

report_property -all [get_macros macro1]Property Type Read-only Visible ValueABSOLUTE_GRID bool true true 0CLASS string true true macroNAME string true true macro1RLOCS string* true true u1/sr3 X0Y0 u1/sr4 X1Y0 u1/sr5

影響を受けるフローの段階

• 論理から物理へのマッピング

• synth_design

• place_design

関連項目

216 ページの 「H_SET および HU_SET」

324 ページの 「RLOC」

330 ページの 「RLOC_ORIGIN」

335 ページの 「RPM」

336 ページの 「RPM_GRID」

347 ページの 「U_SET」

Page 330: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 330UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

RLOC_ORIGINRLOC_ORIGIN プロパティでは、 RTL デザインで相対配置マクロ (RPM) の絶対ロケーシ ョ ンまたは LOC 定義しま

す。 RPM の定義および RLOC_ORIGIN プロパティの使用に関する詳細は、 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 19] を参照してください。

RPM は、 RTL デザインで H_SET、 HU_SET、 または U_SET プロパティを使用して、 セッ トにデザイン エレ メン ト

を割り当てるこ とで定義されます。 この後、 RLOC プロパティを使用して、 相互相対的にデザイン エレ メン トの配

置が割り当てられます。 ターゲッ ト デバイスへのセッ ト全体の実際の配置には関係なく、 セッ トに含まれるほかの

エレ メン トに相対してセッ ト内のエレ メン トの配置を定義できます。

RPM のエレ メン ト とその相対的配置を定義したら、 RLOC_ORIGIN プロパティで、 ターゲッ ト デバイスへの RPM の絶対配置を定義できます。 RLOC_ORIGIN プロパティは、 合成中に LOC 制約に変換されます。

Vivado Design Suite では、 RLOC_ORIGIN プロパティは RPM の左下を定義します。 これは、 RLOC_ORIGIN プロパ

ティが X0Y0 のデザイン エレ メン トであるこ とが一般的です。 RPM の残りのセルは、 グループの原点を基準と した

相対ロケーシ ョ ン (RLOC) を使用して配置されます。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• RTL ソース ファ イル内のインスタンス。

スライス ベースの XY 軸を使用して RLOC は指定されます。

RLOC_ORIGIN=XmYn

説明:

• m は整数の値で、 RPM の左下、 ターゲッ ト デバイスの X 軸の絶対値を表します。

• n は整数の値で、 RPM の左下、 ターゲッ ト デバイスの Y 軸の絶対値を表します。

構文

Verilog 構文

RLOC_ORIGIN プロパティは、 ターゲッ ト デバイスでの RPM の絶対配置を定義する Verilog 属性です。 Verilog 属性

はロジッ ク エレ メン トのインスタンシエーシ ョ ン直前に配置します。

(* RLOC_ORIGIN = "XmYn", HU_SET = "h0" *) FD sr0 (.C(clk), .D(sr_1n), .Q(sr_0));

Verilog 例

次の最上位 Verilog モジュールは、 デザインの ffs モジュールの RLOC_ORIGIN プロパティを定義しています。

Page 331: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 331UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

module top ( input clk, input d, output q );

wire c1, c2;

(* RLOC_ORIGIN = "X1Y1", KEEP_HIERARCHY = "YES" *) ffs u0 (clk, d, c1);(* RLOC_ORIGIN = "X3Y3", KEEP_HIERARCHY = "YES" *) ffs u1 (clk, c1, c2);(* RLOC_ORIGIN = "X5Y5", KEEP_HIERARCHY = "YES" *) ffs u2 (clk, c2, q);

endmodule // top

次の例は最初の例と非常に類似していますが、 RLOC_ORIGIN は最初の ffs モジュール u0 だけに割り当てられてい

て、 残りは相対配置用に RLOC プロパティで定義されている点が異なり ます。

module top ( input clk, input d, output q );

wire c1, c2;

// what would happen if the origin places the RPM outside // device?

(* RLOC_ORIGIN = "X74Y15", RLOC = "X0Y0" *) ffs u0 (clk, d, c1); (* RLOC = "X1Y1" *) ffs u1 (clk, c1, c2); (* RLOC = "X2Y2" *) ffs u2 (clk, c2, q);

endmodule // top

VHDL 構文

VHDL 制約は次のよ うに宣言します。

attribute RLOC_ORIGIN: string;

VHDL 制約は次のよ うに指定します。

attribute RLOC_ORIGIN of {component_name | entity_name | label_name} : {component|entity|label} is “XmYn”;

説明:

• {component_name | entity_name | label_name} にはデザイン エレ メン ト を指定します。

• {component|entity|label} は指定したデザイン エレ メン トのインスタンス ID です。

• XmYn は指定されたデザイン エレ メン トの RLOC_ORIGIN 値を定義します。

Page 332: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 332UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

XDC 構文

RLOC_ORIGIN プロパティは合成されたデザインで LOC プロパティに変換されます。 ターゲッ ト デバイスに RPM のエレ メン トの 1 つを配置するこ とで、 RPM の LOC プロパティは指定できます。 RPM のほかにエレ メン トは、 こ

のロケーシ ョ ンに相対的に配置され、 LOC プロパティに割り当てられます。

影響を受けるフローの段階

• 論理から物理へのマッピング

• place_design

• synth_design

関連項目

216 ページの 「H_SET および HU_SET」

324 ページの 「RLOC」

328 ページの 「RLOCS」

335 ページの 「RPM」

336 ページの 「RPM_GRID」

347 ページの 「U_SET」

Page 333: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 333UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

ROUTE_STATUSROUTE_STATUS は読み取り専用のプロパティで、 ネッ ト配線の最新情報を表示するため、 Vivado 配線プログラムに

よ りネッ トに割り当てられます。

このプロパティは、 get_property または report_property コマンドを使用して、 ネッ ト ごと またはネッ トのグ

ループごとにクエ リできます。 デザイン全体の ROUTE_STATUS を確認するには、 report_route_status コマン

ドを使用します。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• ネッ ト (get_nets)

• ROUTED: ネッ トが完全に配置配線されています。

• PARTIAL: ネッ トのすべてのピンおよびポートが配置され、 ネッ トの一部は配線されていますが、 配線されてい

ない部分があるので route_design を実行する必要があ り ます。

• UNPLACED: 配置されていないピンやポートがあ り、 配置を完了させるため place_design を実行する必要が

あ り ます。

• UNROUTED: ネッ トのすべてのピンおよびポートが配置されていますが、 ネッ トに配線データがないため、 配線

を完了させるため route_design 実行する必要があ り ます。

• INTRASITE: ターゲッ ト デバイスの同じサイ ト内で配線全体が完了していて、 接続を完了させるのに配線リ

ソースは不要です。 これはエラーではあ り ません。

• NOLOADS: 配線に論理ロードがないか、 配線可能なロード ピンがないので、 配線は不要です。 これはエラーで

はあ り ません。

• NODRIVER: 配線に論理ド ライバーがないか、 配線可能なド ライバーがないので、 配線は不要です。 これはデザ

イン エラーです。

• HIERPORT: 配線可能なロードまたはド ライバーのない最上位階層ポートに配線が接続されています。 これはエ

ラーではあ り ません。

• ANTENNAS: 配線に少なく と も 1 つのアンテナ (サイ ト ピンに接続されているが、 そのサイ ト ピンが論理ネッ ト

に接続されていない分岐最下位配線) が含まれるか、 少なく と も 1 つのアイランド (論理ネッ トに関連付けられ

ているサイ ト ピンのいずれにも接続されていない配線の部分) が含まれます。 これは配線エラーです。

Page 334: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 334UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

• CONFLICTS: 配線プログラムに次の配線エラーが 1 つ以上見られます。

° Routing conflict: この配線のノードが 1 つ以上、 ほかの配線、 または同じ配線の別の分岐でも使用されてい

ます。

° Site pin conflict: サイ ト内のサイ ト ピンに接続されている論理ピンと、 サイ ト外に配線を介して接続されて

いる論理ネッ トが異なり ます。

° Invalid site conflict: サイ トのプログラ ミ ングが無効な状態であるサイ トのサイ トのサイ ト ピンに配線が接続

されていて、 サイ ト内の配線が正し く接続されているか判断できない状態です。

• ERROR: 配線ステータスを判断するにあたって内部エラーが発生した状態です。

• NONET: 配線ステータス用に指定されているネッ ト オブジェク トが存在しないか、 または入力したよ うには検出

されません。

• NOROUTE: エラーのため、 指定のネッ トに対し配線オブジェク ト を検出できません。

• NOROUTESTORAGE: エラーのため、 このデバイスに対しては配線ス ト レージ オブジェク トは使用できません。

• UNKNOWN: エラーのため、 配線ステートは計算できません。

構文

ROUTE_STATUS プロパティの値は、 先に説明した値の 1 つになり ます。 また、 Vivado 配線によ り割り当てられる読

み取り専用プロパティで、 直接変更はできません。

影響を受けるフローの段階

• デザインの配線

Page 335: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 335UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

RPMRPM は読み取り専用のプロパティで、 RTL ソース ファ イルに含まれる H_SET、 HU_SET、 または U_SET プロパ

ティで定義されたセッ トのロジッ ク エレ メン トに割り当てられます。

RTL ソース ファ イルに RLOC も含まれる場合は、 H_SET、 HU_SET、 U_SET プロパティは、 合成後ネッ ト リ ス トで

セルに対する読み取り専用の RPM プロパティに変換されます。 Vivado Design Suite のテキス ト エディ ターで RTL ソース ファ イルを開く と、 HU_SET および U_SET が表示されますが、 セル オブジェク トの [Properties] ビューでは RPM プロパティが表示されます。 これらのプロパティの使用および RPM の定義の詳細は、 『Vivado Design Suite ユー

ザー ガイ ド : 制約の使用』 (UG903) [参照 19] を参照してください。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• 合成されたデザインのセル (get_cells)

• <NAME>: RTL ソース ファ イルに H_SET、 HU_SET、 または U_SET プロパティ と共に RLOC がある場合のセッ

ト定義に基づいた RPM の名前です。

構文

RPM プロパティは読み取り専用で、 H_SET、 HU_SET、 または U_SET と RLOC が共に定義されている RTL デザイン

を合成したと きに生成されます。 RPM プロパティを直接定義したり変更するこ とはできません。

関連項目

216 ページの 「H_SET および HU_SET」

324 ページの 「RLOC」

328 ページの 「RLOCS」

330 ページの 「RLOC_ORIGIN」

336 ページの 「RPM_GRID」

347 ページの 「U_SET」

Page 336: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 336UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

RPM_GRIDRPM_GRID プロパティは RLOC グ リ ッ ドを相対座標ではなく絶対座標で定義します。 RPM_GRID システムは、 セル

が異なるサイ ト タイプ (スライス、 ブロ ッ ク RAM、 DSP などの組み合わせ) に属す RPM に使用されます。 セルはさ

まざまなサイズのサイ トに配置される可能性があるので、 ターゲッ ト デバイスに直接配置できる RPM_GRID システ

ムでは絶対座標の RPM_GRID を使用します。

RPM_GRID 値は、 Vivado IDE でサイ ト を選択する と、 [Site Properties] ビューに表示されます。 座標は、 RPM_X およ

び RPM_Y サイ ト プロパティを使用して Tcl コマンドで検索するこ と もできます。 RPM_GRID プロパティの使用、

絶対座標を使用した RPM の定義については、『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 19] を参照してください。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• セル (get_cells)

• "GRID": 指定の RLOC が、 通常 RLOC で指定される相対座標ではなく、 ターゲッ ト デバイスからの絶対座標で

あるこ とを Vivado Design Suite に知らせるため、 RPM_GRID プロパティ と GRID キーワードを組み合わせます。

構文

Verilog 構文

Verilog 属性をモジュールまたはインスタンシエーシ ョ ンの直前に配置します。 次のよ うに指定します。

(* RPM_GRID = "GRID" *)

Verilog 例

module iddr_regs ( input clk, d, output y, z );

(* RLOC = "X130Y195" *) IDDR ireg (.C(clk_i), .D(d), .Q1(q1), .Q2(q2)); defparam ireg.DDR_CLK_EDGE = "SAME_EDGE"; (* RLOC = "X147Y194" *) FD q1reg (.C(clk_i), .D(q1), .Q(y)); (* RLOC = "X147Y194", RPM_GRID = "GRID" *) FD q2reg (.C(clk_i), .D(q2), .Q(z)); endmodule // iddr_regs

Page 337: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 337UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

VHDL 構文

RPM_GRID システムを使用するには、 まず属性を定義し、 それをデザイン エレ メン トの 1 つに追加します。

attribute RPM_GRID of ram0 : label is "GRID";

VHDL 制約は次のよ うに宣言します。

attribute RPM_GRID : string;

VHDL 制約は次のよ うに指定します。

attribute RPM_GRID of {component_name | entity_name} : {component|entity} is “GRID”;

XDC 構文

RPM_GRID プロパティは RTL ソース ファ イルで指定します。 XDC ファ イルまたは Tcl コマンドでは定義できませ

ん。 ただし、 XDC マクロの場合は、 update_macros コマンドに -absolute_grid オプシ ョ ンを使用します。

影響を受けるフローの段階

• 論理から物理へのマッピング

• place_design

• synth_design

関連項目

216 ページの 「H_SET および HU_SET」

324 ページの 「RLOC」

328 ページの 「RLOCS」

330 ページの 「RLOC_ORIGIN」

335 ページの 「RPM」

347 ページの 「U_SET」

Page 338: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 338UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

SEVERITYSEVERITY プロパティでは、 Vivado Design Suite で Report DRC を実行する と きの、 個々のデザイン ルール チェッ ク (DRC) に割り当てられている重要度を変更できます。 DRC の実行の詳細は、『Vivado Design Suite ユーザー ガイ ド : システム レベル デザイン入力』 (UG895) [参照 15] のこのセクシ ョ ンを参照してください。

ビルト イン DRC、 カスタム DRC のどちらに対しても、 重要度を設定できます。 カスタム DRC の記述方法の詳細は、

『Vivado Design Suite ユーザー ガイ ド : Tcl スク リプ ト機能の使用』 (UG894) [参照 14] のこのセクシ ョ ンを参照してくだ

さい。

たとえば、 次のコマンドはエラーを警告に格下げします。

set_property SEVERITY {Warning} [get_drc_checks REQP-83]

重要: Vivado ではビルト イン DRC オブジェク トの重要度をディ スエーブルにしたり格下げできますが、 不測の結果

を招いたり、 デバイスを恒久的に損傷してしま う可能性があるため、 できる限り変更しないでください。

DRC オブジェク ト をデフォルト設定にリセッ トするには、 reset_drc_check という Tcl コマンドを使用します。 ビルト

イン DRC チェッ クの場合は、 Vivado ツールで定義されているデフォルト設定に戻り ます。 カスタム DRC の場合は、

create_drc_check コマンドで作成したと きに定義したデフォルト設定に戻り ます。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• デザイン ルール チェッ ク オブジェク ト (get_drc_checks)

• Fatal

• Error

• {Critical Warning}

• Warning

• Advisory

構文

Verilog および VHDL 構文

該当なし

XDC 構文

set_property SEVERITY {<VALUE>} [get_drc_checks <id>]

Page 339: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 339UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

説明:

• <VALUE> は、 Vivado ツールで認識されている DRC 重要度、 Advisory、 Warning、 {Critical Warning}、 Error、Fatal のいずれかになり ます。

• <id> は Vivado Design Suite で認識される DRC ID です。

XDC の構文例

set_property SEVERITY {Critical Warning} [get_drc_checks RAMW-1]

影響を受けるフローの段階

• report_drc

• write_bitstream

関連項目 251 ページの 「IS_ENABLED」

Page 340: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 340UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

SLEWSLEW は、 プログラム可能な出力スルー レート をサポートする I/O 規格でコンフ ィギュレーシ ョ ンされた出力バッ

ファーに対し、 出力バッファーのスルー レート を指定します。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• ポート (get_ports)

° 接続された出力ポート または双方向ポート

• セル (get_cells)

° 出力バッファー (すべての OBUF)

• SLOW (デフォルト )

• MEDIUM: UltraScale アーキテクチャの場合は、 ハイ パフォーマンス (HP) I/O でのみ使用できます。

• FAST

構文

Verilog 構文

I/O バッファーを推論する際にこの属性を設定するには、 適切な Verilog 属性構文を最上位出力ポート宣言の前に配

置します。

(* DRIVE = "{SLOW|FAST}" *)

Verilog の構文例

// Sets the Slew rate to be FAST(* SLEW = "FAST" *) output FAST_DATA,

Page 341: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 341UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

VHDL 構文

I/O バッファーを推論する際にこの属性を設定するには、 適切な VHDL 属性構文を最上位出力ポート宣言の前に配置

します。

VHDL 属性は次のよ うに宣言します。

attribute SLEW : string;

VHDL 属性は次のよ うに指定します。

attribute SLEW of port_name : signal is value;

説明:

• port_name は最上位出力ポートです。

VHDL の構文例

FAST_DATA : out std_logic;attribute SLEW : string;-- Sets the Slew rate to be FASTattribute SLEW of STATUS : signal is “FAST”;

XDC 構文

set_property SLEW value [get_ports port_name]

説明:

• port_name は出力または双方向ポートです。

XDC の構文例

# Sets the Slew rate to be FASTset_property SLEW FAST [get_ports FAST_DATA]

影響を受けるフローの段階

• I/O プランニング

• ノ イズ レポート

• 消費電力レポート

Page 342: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 342UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

関連項目

『Vivado Design Suite 7 シ リーズ FPGA および Zynq-7000 SoC ライブラ リ ガイ ド』 (UG953) [参照 25] または『UltraScale アーキテクチャ ライブラ リ ガイ ド』 (UG974) [参照 26] の次のデザイン エレ メン ト を参照してください。

• OBUF

• OBUFT

• IOBUF

• IOBUF_DCIEN

• IOBUF_INTERMDISABLE

Page 343: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 343UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

SRL_TO_REGSRL プリ ミ ティブは、 SRL_TO_REG プロパティの値を true に設定するこ とによ り、 論理的に等価のレジスタ プリ ミ

ティブ チェーンに変換できます。 この変換は通常、 デバイス内で信号が長距離を移動できるよ うにするため、 分散

できるパイプライン レジスタの段数を増加させるために使用されます。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• • リーフ レベルのシフ ト レジスタ インスタンス と してのセル (get_cells)。

• True (または 1): Vivado のロジッ ク最適化で SRL チェーンが複数のレジスタ プリ ミティブに変換されます。

• False (または 0): Vivado のロジッ ク最適化で SRL チェーンが複数のレジスタ プリ ミ ティブに変換されませmm。

構文

Verilog および VHDL 構文

該当なし

XDC 構文

set_property SRL_TO_REG <True | False> <objects>

このプロパティはデフォルトで FALSE になり ます。 このオブジェク トは、 SRL16E、 SRL32E などのインスタンシ

エート または推論可能なスタティ ッ ク シフ ト レジスタにする必要があ り ます。

XDC の例:

set_property SRL_TO_REG 1 [get_cells {cell1 cell2}]

影響を受けるフローの段階

• opt_design

関連項目

「REG_TO_SRL」

Page 344: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 344UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

SYNTH_CHECKPOINT_MODEVivado IP インテグレーター ブロ ッ ク デザイン ファ イル (.bd) の出力ファイルを生成する際は、 最上位デザインと関

連して、 ブロ ッ ク デザインの合成方法を選択できます。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP インテグ

レーターを使用した IP サブシステムの設計』 (UG994) [参照 27] のこのセクシ ョ ン参照してください。

SYNTH_CHECKPOINT_MODE を使用する と、 ブロ ッ ク デザインはグローバル合成中に最上位デザインの一部と し

て合成されます。 これには SYNTH_CHECKPOINT_MODE を NONE にして、 ブロ ッ ク デザインの OOC 合成チェッ

クポイン トの生成をディ スエーブルにします。

重要: SYNTH_CHECKPOINT_MODE を NONE に設定する と、 Vivado ツールで 「GENERATE_SYNTH_CHECKPOINT」 プロパティが自動的に FALSE または 0 に設定され、 OOC フローおよび BD ファ イルの合成済み DCP 出力ファイルの生成がディ スエーブルになり ます。

SYNTH_CHECKPOINT_MODE プロパティを SINGULAR または HIERARCHICAL に設定する と、 ブロ ッ ク デザイン

を残りのデザインからアウ ト オブ コンテキス ト (OOC) で合成するよ うに指定するこ と もできます。

• SINGULAR にする と、 ブロ ッ ク デザインは単一のユニッ ト と して合成され、 1 つの DCP に記述されます。

Vivado IDE では、 このオプシ ョ ンは [Out-of-context per Block Design] です。

• HIERARCHICAL にする と、 ブロ ッ ク デザインで使用されるすべての IP が合成されて、 IP ごとに別々の DCP ファ イルに記述されます。 Vivado IDE では、 このオプシ ョ ンは [Out-of-context per IP] で、 これがデフォルト モードです。

このプロパティは、 何らかの理由で IP がロッ ク されている場合のみ、 読み取り専用になり ます。 この場合は、

Vivado IDE で [Report] → [Report IP Status] をク リ ッ クするか、 report_ip_status という Tcl コマンドを実行して、 IP がロッ ク されている理由を確認します。 DCP を生成するには、 Vivado IP カタログの最新バージ ョ ンに IP をアップグ

レード しておく必要があ り ます。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 16] のこのセクシ ョ ンを参照してください。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• ブロ ッ ク デザイン ファ イル (BD)

• (get_files)

• None: ブロ ッ ク デザインは残りのデザインと一緒に合成されます。 これは、 グローバル合成と呼ばれます。

• Singular: ブロ ッ ク デザイン全体がアウ ト オブ コンテキス ト ブロ ッ ク と して合成されます。

• Hierarchical: ブロ ッ ク デザインで使用される IP それぞれが別々に合成されます。 つま り、 各 IP がアウ ト オブ コンテキス トで合成されて、 再合成が必要になれば合成キャッシュが最大限に使用されるよ うにな り ます。

これがデフォルト モードです。

Page 345: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 345UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

構文

Verilog および VHDL 構文

該当なし

XDC 構文

次のコマンド例は、 さまざまな SYNTH_CHECKPOINT_MODE 値を設定して、 generate_targets Tcl コマンドを

使用して出力を作成する と ころを示しています。

グローバル合成:

set_property SYNTH_CHECKPOINT_MODE NONE [get_files <filename>.bd]generate_target all [get_files <filename>.bd]

IP ごとの OOC 合成:

set_property SYNTH_CHECKPOINT_MODE HIERARCHICAL [get_files <filename>.bd]generate_target all [get_files <filename>.bd]

ブロ ッ ク デザインごとの OOC 合成:

set_property SYNTH_CHECKPOINT_MODE SINGULAR [get_files <filename>.bd]generate_target all [get_files <filename>.bd]

説明:

• <filename> は、 ブロ ッ ク デザイン (BD) のファイル名です。

XDC の構文例

set_property SYNTH_CHECKPOINT_MODE SINGULAR [get_files *.bd] generate_target all [get_files *.bd]

Page 346: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 346UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

影響を受けるフローの段階

• 合成

• インプリ メンテーシ ョ ン

関連項目

214 ページの 「GENERATE_SYNTH_CHECKPOINT」

Page 347: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 347UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

U_SETRLOC 制約を使用して、 デザイン階層をまたいで分散しているデザイン エレ メン ト を 1 つのセッ トにま とめます。

U_SET は HDL デザイン ソース ファ イル内の属性で、 合成されたデザインやインプリ メン ト されたデザインには現

われません。 U_SET は、 RPM (Relatively Placed Macro) を RTL デザインで定義する と きに使用されます。 これらのプ

ロパティの使用および RPM の定義の詳細は、『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 19] を参照してください。

H_SET または HU_SET はデザイン階層に基づいてロジッ ク エレ メン トのセッ ト を定義するのに使用されますが、

U_SET を使用する と、 ユーザー定義のロジッ ク エレ メン ト を手動で作成でき、 このセッ トはデザインの階層には依

存しません。

RTL ソース ファ イルに RLOC も含まれる場合は、 H_SET、 HU_SET、 U_SET プロパティは、 合成後ネッ ト リ ス トで

セルに対する読み取り専用の RPM プロパティに変換されます。 Vivado Design Suite のテキス ト エディ ターで RTL ソース ファ イルを開く と、 HU_SET および U_SET が表示されますが、 セル オブジェク トの [Properties] ビューでは RPM プロパティが表示されます。

重要: 階層モジュールに U_SET 制約を設定する と、 それ以下の階層にある RLOC 制約が設定されたすべてのプリ ミ

ティブ シンボルに U_SET 制約が適用されます。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

U_SET 制約は、 次のデザイン エレ メン ト またはそのカテゴ リーで使用できます。 特定デザイン エレ メン トの詳細

は、 『Vivado Design Suite 7 シ リーズ FPGA および Zynq-7000 SoC ライブラ リ ガイ ド』 (UG953) [参照 25] または

『UltraScale アーキテクチャ ライブラ リ ガイ ド』 (UG974) [参照 26] を参照してください。

• レジスタ

• マクロ インスタンス

• RAMS*

• RAMD*

• RAMB*

• DSP48*

• <NAME>: U_SET の名前。

Page 348: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 348UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

構文

Verilog 構文

これは、 合成後ネッ ト リ ス トで RPM を定義する階層ブロッ クのセッ トの内容を定義するため、 RLOC プロパティ と

組み合わせた Verilog 構文です。 Verilog 属性はロジッ ク エレ メン トのインスタンシエーシ ョ ン直前に配置します。

(* RLOC = "X0Y0", HU_SET = "h0" *) FD sr0 (.C(clk), .D(sr_1n), .Q(sr_0));

Verilog 例

これは、 モジュールでのシフ ト レジスタ フ リ ップフロ ップの RLOC および U_SET プロパティを定義する Verilog モジュールです。

module ffs ( input clk, input d, output q );

wire sr_0, sr_0n; wire sr_1, sr_1n; wire sr_2, sr_2n; wire sr_3, sr_3n; wire sr_4, sr_4n; wire sr_5, sr_5n; wire sr_6, sr_6n; wire sr_7, sr_7n; wire inr, inrn, outr;

inv i0 (sr_0, sr_0n); inv i1 (sr_1, sr_1n); inv i2 (sr_2, sr_2n); inv i3 (sr_3, sr_3n); inv i4 (sr_4, sr_4n); inv i5 (sr_5, sr_5n); inv i6 (sr_6, sr_6n); inv i7 (sr_7, sr_7n); inv i8 (inr, inrn);

(* RLOC = "X0Y0", U_SET = "Uset0" *) FD sr0 (.C(clk), .D(sr_1n), .Q(sr_0)); (* RLOC = "X0Y0", U_SET = "Uset0" *) FD sr1 (.C(clk), .D(sr_2n), .Q(sr_1)); (* RLOC = "X0Y1", U_SET = "Uset0" *) FD sr2 (.C(clk), .D(sr_3n), .Q(sr_2)); (* RLOC = "X0Y1", U_SET = "Uset0" *) FD sr3 (.C(clk), .D(sr_4n), .Q(sr_3)); (* RLOC = "X0Y0", U_SET = "Uset1" *) FD sr4 (.C(clk), .D(sr_5n), .Q(sr_4)); (* RLOC = "X0Y0", U_SET = "Uset1" *) FD sr5 (.C(clk), .D(sr_6n), .Q(sr_5)); (* RLOC = "X0Y1", U_SET = "Uset1" *) FD sr6 (.C(clk), .D(sr_7n), .Q(sr_6)); (* RLOC = "X0Y1", U_SET = "Uset1" *) FD sr7 (.C(clk), .D(inrn), .Q(sr_7)); (* LOC = "SLICE_X0Y0" *) FD inq (.C(clk), .D(d), .Q(inr)); FD outq (.C(clk), .D(sr_0n), .Q(outr));

assign q = outr; endmodule // ffs

Page 349: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 349UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

定義されている特定の階層に設定する HU_SET プロパティ とは異なり、 U_SET プロパティは階層全体に設定できま

す。 この例では、 最上位モジュールによ り フ リ ップ フロ ップ モジュールのインスタンスが 3 つ定義されています

が、 U_SET は Uset_0 および Uset_1 の 2 つしか作成されていません。 この 2 つのセッ トには、 次に定義されている 3 つのフ リ ップ フロ ップ モジュール インスタンスすべてからのフ リ ップフロ ップが含まれています。

module top ( input clk, input d, output q );

wire c1, c2;

ffs u0 (clk, d, c1);ffs u1 (clk, c1, c2);ffs u2 (clk, c2, q);

endmodule // top

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute U_SET : string;

VHDL 制約は次のよ うに指定します。

attribute U_SET of {component_name | entity_name | label_name} : {component|entity|label} is "NAME";

説明:

• {component_name | entity_name | label_name} にはデザイン エレ メン ト を指定します。

• {component|entity|label} は指定したデザイン エレ メン トのインスタンス ID です。

• "NAME" には U_SET の名前を指定します。

Page 350: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 350UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

XDC 構文

U_SET プロパティは XDC 制約を使用しては定義できません。 U_SET プロパティが RLOC と共にロジッ ク エレ メン

トに設定されている場合は、 RPM が定義され、 合成されたデザインのネッ ト リ ス トに読み取り専用の RPM プロパ

ティが設定されます。

ヒン ト : デザインで RPM のよ うに動作するマクロ オブジェク ト を Vivado Design Suite で定義するには、

create_macro または update_macro を使用します。 このコマンドの詳細は、 『Vivado Design Suite Tcl コマンド リファレンス ガイ ド』 (UG835) [参照 13] を参照してください。

影響を受けるフローの段階

• デザインのフロアプラン

• place_design

• synth_design

関連項目

258 ページの 「KEEP_HIERARCHY」

216 ページの 「H_SET および HU_SET」

324 ページの 「RLOC」

Page 351: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 351UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

UNAVAILABLE_DURING_CALIBRATION UltraScale アーキテクチャの場合、 UNAVAILABLE_DURING_CALIBRATION プロパティを使用する と、 ビルト イン セルフ キャ リブレーシ ョ ン (BISC) プロセス中に BITSLICE0 が使用できないこ とを示す DRC エラー メ ッセージを表

示しないよ うにできます。

IDELAY/ODELAY および RX_BITSLICE/TX_BITSLICE/RXTX_BITSLICE では DELAY_FORMAT の TIME モードがサ

ポート されており、 アライ メン ト を続けて調整するこ とでよ り正確な遅延が提供されるよ うになっています。

IDELAY/ODELAY およびネイティブ プリ ミティブに TIME モードを使用する と、 BISC プロセス中に BITSLICE_0 が使用されます。 BITSLICE_0 に接続されたコンポーネン ト ロジッ クは BISC プロセス中には使用できないこ とがあ り

ます。 この場合、 DRC 違反になり、 ニブル内の BITSLICE_0 に関連する入力配線と ロジッ クが BISC 中に使用できな

くなるこ とがレポート されます。 DELAY_FORMAT 属性の詳細は、 『UltraScale アークテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571) [参照 8] を参照してください。

これらの制限がデザインに影響しない場合は、 UNAVAILABLE_DURING_CALIBRATION プロパティで DRC をオフ

にできます。

ヒン ト : このプロパティは、 XDC 制約と して割り当てる必要があ り ます。 HDL ソース ファ イルではサポート されま

せん。

アーキテクチャ サポート

UltraScale アーキテクチャ。

適用可能なオブジェク ト

• ポート (get_ports)

• TRUE: BISC プロセスに関連する DRC エラー メ ッセージのレポート をオフにします。

• FALSE: DRC エラー メ ッセージのレポートはオフになり ません (デフォルト )。

構文

Verilog 構文

該当なし。

VHDL 構文

該当なし。

XDC 構文

set_property UNAVAILABLE_DURING_CALIBRATION TRUE [get_ports <port_name>]

Page 353: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 353UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

USE_DSPUSE_DSP プロパティを使用する と、 Vivado Design Suite で数学モジュールがターゲッ ト デバイスで DSP ブロ ッ クに

合成されるよ うにな り ます。

ヒン ト : USE_DSP48 は廃止されたため、 USE_DSP に置き換える必要があ り ます。

デフォルトでは、 乗算器、 乗加算器、 乗減算器、 乗累算器タイプの構造が DSP ブロ ッ クに割り当てられます。 ただ

し、 加算器、 減算器、 およびアキュムレータも DSP ブロ ッ クに含めるこ とはできますが、 デフォルトではその代わ

りにロジッ クを使用してインプリ メン ト されます。 USE_DSP 属性はデフォルト動作よ り優先され、 これらの構造が DSP を使用して定義されます。

DSP は、 カウンター、 マルチプレクサー、 およびシフ ト レジスタなど、 数学以外のその他多くのロジッ ク ファンク

シ ョ ンをインプリ メン ト されるためにも使用できます。 ただし、 マルチプレクサーなどの複雑なモジュールの場合

は、 DSP を手動でインスタンシエートする必要があ り ます。

このプロパティは、 次のよ うに RTL で信号の属性と して設定できます。

(* use_dsp = "yes" *) module test(clk, in1, in2, out1);

USE_DSP は RTL ソースでモジュールに指定できますが、 そのモジュールにのみ適用されます。 これは、 デザインの

階層セルに XDC 制約と して適用するこ と もできます。

アーキテクチャ サポート

すべてのデバイス。

適用可能なオブジェク ト

この属性は、 RTL の信号、 アーキテクチャおよびコンポーネン ト、 エンティティおよびモジュールに指定できます。

優先順位は次のとおりです。

1. 信号

2. アーキテクチャおよびコンポーネン ト

3. モジュールおよびエンティティ

• YES: DSP ブロ ッ クを使用して数学ファンクシ ョ ンをインプリ メン ト します。

• NO: Vivado 合成のデフォルト ビヘイビアーは変更されません。

• LOGIC: UltraScale アーキテクチャのみ。 DSP ブロ ッ クを使用して大型/幅広のファンクシ ョ ンをインプリ メン ト

します。

Page 354: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 354UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

構文

Verilog 構文

(* use_dsp = "yes" *) module test(clk, in1, in2, out1);

VHDL 構文

attribute use_dsp : string;

attribute use_dsp of P_reg : signal is "no"

XDC 構文

set_property use_dsp yes [get_cells -hier ….]

影響を受けるフローの段階

• 合成

Page 355: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 355UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

USED_INUSED_IN プロパティは Vivado Design Suite のデザイン ファ イル (v、 vhd、 xdc、 tcl) に設定し、 これらのファイルが FPGA デザインのどの段階で使用されるかを指定します。

たとえば、 インプリ メンテーシ ョ ンではなく Vivado 合成で XDC ファ イルを使用するよ うに指定できます。 また、

合成ではなくシ ミ ュレーシ ョ ンで HDL ソース ファ イル (v または vhd) を使用するよ う指定するこ と もできます。

ヒン ト : USED_IN_SYNTHESIS、 USED_IN_SIMULATION、 USED_IN_IMPLEMENTATION プロパティは USED_IN プロパティに関連付けられており、 ツールによ り自動的に USED_IN ({synthesis, simulation, implementation}) に変換され

ます。

また、 Tcl ファ イルを単にインプリ メンテーシ ョ ンで使用する設定するのではなく、 USED_IN opt_design または place_design で使用するよ うに細かく設定するこ と もできます。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• ファ イル

• synthesis

• synthesis_post

• implementation

• simulation

• out_of_context

• opt_design

• opt_design_post

• power_opt_design

• power_opt_design_post

• place_design

• place_design_post

• phys_opt_design

• phys_opt_design_post

• route_design

• route_design_post

• write_bitstream

Page 356: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 356UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

• write_bitstream_post

• synth_blackbox_stub

• testbench

• board

• single_language

• power_data

構文

Verilog および VHDL 構文

該当なし

XDC 構文

set_property USED_IN {<value>} [get_files <files>]

説明:

• <value> には有効な USED_IN 値が 1 つまたは複数入り ます。

• <files> には USED_IN プロパティを設定するファイル名が入り ます。

XDC の構文例

# Designates the specified files as used in simulationset_property USED_IN {synthesis simulation} [get_files *.vhdl]

影響を受けるフローの段階

• 合成

• シ ミ ュレーシ ョ ン

• インプリ メンテーシ ョ ン

• ビッ ト ス ト リーム生成

Page 357: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 357UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

USER_CLOCK_ROOTターゲッ ト デバイスの特定のクロ ッ ク領域または Pblock にクロ ッ ク ド ラ イバーまたはルート を割り当てるのに使用

します。

USER_CLOCK_ROOT プロパティは、 デバイス全体のクロ ッ ク スキューを管理しやすくするためのものです。 デ

フォルトでは、 配置配線ツールで自動的にクロ ッ ク ルートが割り当てられ、 そのデザインに最適なタイ ミ ング特性

が達成されます。 ツールで割り当てられたクロ ッ ク ルートは、 読み取り専用の 「CLOCK_ROOT」 プロパティで定義

されます。 USER_CLOCK_ROOT を使用する場合は、 ク ロ ッ ク ルート を手動で割り当てるこ とができます。

重要: USER_CLOCK_ROOT プロパティはグローバル ク ロ ッ ク ネッ トに設定でき、 グローバル ク ロ ッ ク バッファー (BUFG) で直接駆動させるネッ ト セグメン トにのみ割り当てるこ とができます。

USER_CLOCK_ROOT プロパティはクロ ッ ク リ ソース配線中に検証され使用されるので、 配置前に割り当てる必要

があ り ます。 配置の後に割り当てた場合は、 クロ ッ ク ルート をインプリ メン トするために配置を再実行する必要が

あ り ます。

UltraScale および UltraScale+ デバイスのクロ ッキング アーキテクチャは柔軟性が高いので、 グローバル ク ロ ッ クの

配線には 2 段階のプロセスが必要です。 まず Vivado 配置によ り、 ク ロ ッ ク ソース領域からデスティネーシ ョ ン クロ ッ ク領域にグローバル ク ロ ッ クを配線するのに必要な配線リ ソースが割り当てられます (CLOCK_ROOT または USER_CLOCK_ROOT)。 次に、 Vivado 配線によ り ク ロ ッ ク ネッ トの配線ギャップが処理されます。

グローバル ク ロ ッ ク配線はインプリ メンテーシ ョ ン中に自動的に実行されます。 ただし、 クロ ッ ク ネッ トの USER_CLOCK_ROOT プロパティをインプリ メンテーシ ョ ン後に変更したよ うな場合は、 Vivado ツールで update_clock_routing コマンドを実行してクロ ッ ク ネッ ト を配線し直す必要があるこ とがあ り ます。

アーキテクチャ サポート

UltraScale および UltraScale+ アーキテクチャ。

適用可能なオブジェク ト

• グローバル ク ロ ッ ク バッファーの出力に直接接続されるグローバル ク ロ ッ ク ネッ ト (get_nets)。

• <clock_region | pblock>: ターゲッ ト パーツのクロ ッ ク領域名、 または現在のデザインで定義されている Pblock 名を指定します。 ク ロ ッ ク領域は名前で指定するか、 get_clock_regions コマンドで clock_region オブジェ

ク ト と して渡します。 同様に Pblock も名前で指定するか、 get_pblocks コマンドで渡します。

• <object>: 1 つまたは複数のクロ ッ ク ネッ ト、 またはネッ ト セグメン ト を指定します。

構文

Verilog および VHDL 構文

該当なし

Page 358: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 358UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

XDC 構文

set_property USER_CLOCK_ROOT <clock_region | pblock> <objects>

XDC の構文例

set_property USER_CLOCK_ROOT X1Y0 [get_nets {clk1 clk2}]set_property USER_CLOCK_ROOT [get_clock_regions X0Y0] [get_nets {clk1 clk2}]

ヒン ト : 次の例に示すよ うに、 グローバル バッファー インスタンス、 または出力ピンを使用して、 ク ロ ッ ク ネッ ト

を定義するこ と もできます。 set_property USER_CLOCK_ROOT X1Y0 [get_nets -of [get_pins bufferName/O]]

影響を受けるフローの段階

• 配置

• 配線

関連項目

161 ページの 「CLOCK_BUFFER_TYPE」

170 ページの 「CLOCK_REGION」

172 ページの 「CLOCK_ROOT」

Page 359: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 359UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

USER_CROSSING_SLRスタ ッ ク ド シ リ コン インターコネク ト (SSI) デバイスにデザイン エレ メン ト を配置する と、

「USER_SLR_ASSIGNMENT」、 USER_CROSSING_SLR、 「USER_SLL_REG」 プロパティを使用して、 ロジッ ク パー

ティシ ョ ンおよび Vivado 配置ツールのビヘイビアーを管理できます。SSI デバイスは複数の SLR (Super Logic Region) で構成されており、 SSL (Super Long Line) と呼ばれるインターポーザー接続でまとめられます。 SLR 内の配置配線、

および SLR をまたぐ配置配線の詳細は、『UltraFast 設計手法ガイ ド (Vivado Design Suite 用)』 (UG949) [参照 24] のこの

セクシ ョ ンを参照してください。

USER_CROSSING_SLR は、 ネッ トが SLR 境界をまたぐかど うかを指定するブール型プロパティです。 この制約は、

ネッ ト またはピンのいずれかに適用できます。 USER_CROSSING_SLR が 1 に設定される と、 ネッ トが SLL チャネル

を介して SLR 境界をまたぐこ とができます。 0 に設定される と、 ネッ トは SLR 境界をまたぎません。

重要: 値 0 は、 ピンまたはネッ ト セグメン トに使用でき、 ネッ トが境界を越えないよ うにな り ます。 値 1 は 1 つの

ファンアウ トのパイプライン レジスタ接続にのみ適用できます。

SLR 境界をまたぐ配置を管理するには、 まず USER_SLR_ASSIGNMENT を使用してロジッ クを SLR またはグループ

に適用し、 USER_CROSSING_SLR を追加して SLR 境界をまたぐロジッ クのネッ ト セグメン ト を指定します。 必要

であれば、 USER_SLL_REG を追加します。

USER_CROSSING_SLR=1 は、 フロアプラン配置段階の後に使用されるので、 USER_SLR_ASSIGNMENT とは競合し

ません。 USER_CROSSING_SLR=0 は USER_SLR_ASSIGNMENT よ り も優先度が低くな り ます。

USER_CROSSING_SLR の優先度は、 USER_SLL_REG よ り も高くな り ます。 USER_CROSSING_SLR が USER_SLL_REG と競合する場合は、 USER_SLL_REG は無視されます。

ただし、 レジスタの両方のピンに USER_SLL_REG (true) が付いていて、 USER_CROSSING_SLR (true) も付いている

のに、 Reg/D のソース セルと Reg/Q のロード セルが同じ SLR に配置される場合は、 USER_SLL_REG および USER_CROSSING_SLR の両方が無視されます。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• ネッ ト (get_nets)

• ピン (get_pins)

• Null (または ""): プロパティがネッ ト またはピンに指定されているのに、 プロパティ値は TRUE にも FALSE にも

設定されていない、 または未設定であるこ とを示します。

• True (または 1): ピンに接続されたネッ トは、 配置目的に必要な場合、 SLL チャネルに配線されます。

• False (または 0): ピンに接続されたネッ トは SLR 内に配線されます。

Page 360: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 360UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

構文

Verilog および VHDL 構文

該当なし

XDC 構文

set_property USER_CROSSING_SLR <value> [get_nets <net_name>]

説明:

• <value> は NULL、 TRUE、 FALSE のプロパティに指定した値です。

• <net_name> にはプロパティを設定するネッ トの名前を指定します。

XDC の例 1:

set_property USER_CROSSING_SLR 0 [get_nets net_A]

影響を受けるフローの段階

• 配置

関連項目

361 ページの 「USER_SLL_REG」

363 ページの 「USER_SLR_ASSIGNMENT」

Page 361: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 361UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

USER_SLL_REGSSI (スタ ッ ク ド シ リ コン インターコネク ト ) デバイスは複数の SLR (Super Logic Region) で構成されており、 SSL (Super Long Line) と呼ばれるインターポーザー接続でまとめられます。 SLL を介して SLR 間をまたぐパスがある と、

タイ ミ ング ク ロージャで課題とな り ます。

SLL Laguna TX/RX レジスタを使用する と、 SLR 境界間をまたぐネッ トの見積も り遅延と配線遅延の関係を改善でき

ます。 Reg/D のソース セルと Reg/Q のロード セルが別の SLR に配置される場合は、 レジスタに USER_SLL_REG プロパティを設定します。 「IOB」 プロパティの場合と同様、 USER_SLL_REG プロパティを使用する と、 Vivado 配置で

接続が可能である場合に、 レジスタがファブ リ ッ クではなく、近くの Laguna TX_REG または RX_REG サイ ト内に配

置されます。 SLR 内の配置配線、 および SLR をまたぐ配置配線の詳細は、 『UltraFast 設計手法ガイ ド (Vivado Design Suite 用)』 (UG949) [参照 24] のこのセクシ ョ ンを参照してください。

ヒン ト : ネッ トが SLR 境界をまたがない場合や、 ド ライバーと ロードの両方が同じ SLR 境界をまたぐ場合、 または Red/Q ネッ トのロードが複数の SLR に含まれる場合などは、 このプロパティは無視されます。

FD セルの USER_SLL_REG プロパティを true に設定する と、FD/D または FD/Q に接続されたネッ トが SLR 境界をま

たいでいる場合に、 セルがその周辺の LAGUNA サイ トに配置されます。 このプロパティは、 次の場合は無視されま

す。

• FD/D または FD/Q に接続されるネッ トに SLR 境界をまたいでいるものがない場合。

• FD/D または FD/Q に接続されるネッ トの両方が SLR 境界をまたいでいる場合。

• FD/Q ネッ トが SLR 境界をまたいでいて、 2 つの異なる SLR にロードがある場合。

USER_SLL_REG プロパティの設定された FD セルの Laguna TX_REG または RX_REG への配置を改善し、 アルゴ リ

ズム ランタイムを削減する方法の 1 つに、 FD セルを LAGUNA を含むクロ ッ ク領域サイズ ( 「PBLOCK」 ) に制約す

る方法があ り ます。

重要: このプロパティはガイ ド ラインと して考慮されるもので、 配置ツールで実行は試みられますが、 有効な配置結

果を達成するために上書きされてしま う こ と もあ り ます。

アーキテクチャ サポート

UltraScale および UltraScale+ アーキテクチャ。

適用可能なオブジェク ト

• 階層モジュールまたはロジッ ク インスタンス と してのセル (get_cells)。

• True (または 1): FD/D または FD/Q に接続されるネッ トが SLR 境界をまたいでいる場合、 Vivado の詳細配置で FD セルが LAGUNA サイ トに配置されます。

• False (または 0): レジスタは LAGUNA サイ トに配置されません。

Page 362: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 362UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

構文

Verilog および VHDL 構文

該当なし

XDC 構文

set_property USER_SLL_REG <True | False> <objects>

XDC の例:

set_property USER_SLL_REG 1 [get_cells {cell1 cell2}]

配置ツールが cell1 および cell2 を SLR 境界の Laguna レジスタに配置しよ う と します。

影響を受けるフローの段階

• 配置

関連項目

238 ページの 「IOB」

294 ページの 「PBLOCK」

359 ページの 「USER_CROSSING_SLR」

363 ページの 「USER_SLR_ASSIGNMENT」

Page 363: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 363UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

USER_SLR_ASSIGNMENTスタ ッ ク ド シ リ コン インターコネク ト (SSI) デバイスにデザイン エレ メン ト を配置する と、

「USER_CROSSING_SLR」 および 「USER_SLL_REG」 プロパティを使用して、 ロジッ ク パーティシ ョ ンおよび Vivado 配置ツールのビヘイビアーを管理できます。 SSI デバイスは複数の SLR (Super Logic Region) で構成されてお

り、 SSL (Super Long Line) と呼ばれるインターポーザー接続でまとめられます。 SLR 内の配置配線、および SLR をま

たぐ配置配線の詳細は、 『UltraFast 設計手法ガイ ド (Vivado Design Suite 用)』 (UG949) [参照 24] のこのセクシ ョ ンを参

照してください。

USER_SLR_ASSIGNMENT プロパティを使用する と、 セルを特定の SLR (Super Logic Region) 内に配置したり、 特定

の SLR を定義せずに同じ SLR 内にまとめたりできます。 このプロパティには、 下の 「値」 セクシ ョ ンに定義されて

いるよ うに、 2 つの形式があ り ます。 SLRn を使用する と、 セルが特定の SLR に配置され、 group_name を使用する

と、 セルが特定の SLR ではなくある 1 つの SLR に配置されます。

重要: このプロパティはガイ ド ラインと して考慮されるもので、 配置ツールで実行は試みられますが、 有効な配置結

果を達成するために上書きされてしま う こ と もあ り ます。

SLR 境界をまたぐ配置を管理するには、 まず USER_SLR_ASSIGNMENT を使用してロジッ クを SLR またはグループ

に適用し、 USER_CROSSING_SLR を追加して SLR 境界をまたぐロジッ クのネッ ト セグメン ト を指定し、 必要であ

れば USER_SLL_REG を追加します。 優先度が最も高いのは、 USER_SLR_ASSIGNMENT です。

USER_CROSSING_SLR と一緒に使用する と、 SLR 境界をまたがるネッ ト /ピンを個別に制御できます。

アーキテクチャ サポート

すべてのアーキテクチャ。

適用可能なオブジェク ト

• 階層モジュールと してのセル (get_cells)。

• SLRn: n はデバイスの特定の SLR を示す整数です。 配置で、 階層セルの内容を指定した SLR 内に保持するよ う

試みられます。

• group_name: 固有の文字列値で、 1 つまたは複数の階層セルまたはモジュールに割り当てるこ とができます。

配置では、同じ group_name の付いたセルまたはモジュールを 1 つの SLR にまとめよ う と されますが、特定の SLR に配置されるわけではあ り ません。

構文

Verilog および VHDL 構文

該当なし

Page 364: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 364UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

XDC 構文

set_property USER_SLR_ASSIGNMENT <SLRn | group_name> <objects>

XDC の例 1:

set_property USER_SLR_ASSIGNMENT SLR1 [get_cells {cell1 cell2}]

cell1 および cell2 をパーティシ ョ ンしないで、 SLR1 内に配置しよ う と と します。

XDC の例 2:

set_property USER_SLR_ASSIGNMENT group_1 [get_cells {cell1 cell2}]

cell1 および cell2 をパーティシ ョ ンしないで、 同じ SLR 内に配置しよ う と しますが、 特定の SLR に配置されるわけ

ではあ り ません。

影響を受けるフローの段階

• 配置

関連項目

359 ページの 「USER_CROSSING_SLR」

361 ページの 「USER_SLL_REG」

Page 365: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 365UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

VCCAUX_IOVCCAUX_IO は、 指定した I/O の VCCAUX_IO レールの動作電圧を指定します。

VCCAUX_IO プロパティの割り当てが正しいこ とをチェッ クする DRC があ り ます。

• VCCAUXIOBT (警告): VCCAUX_IO の値が NORMAL または HIGH になっているポートが HP バンクのみに配置

されているこ とを確認します。

• VCCAUXIOSTD (警告): VCCAUX_IO の値が NORMAL または HIGH になっているポートで HR バンクでのみサ

ポート されている IOSTANDARD が使用されていないこ とを確認します。

• VCCAUXIO (エラー ): VCCAUX_IO の値が NORMAL になっているポートが、 VCCAUX_IO の値が HIGH になっ

ているポート と同じバンクに制約/配置されていないこ とを確認します。

アーキテクチャ サポート

7 シ リーズ FPGA、 および Zynq-7000 SoC デバイスの HP (High Performance) バンク I/O のみ。

適用可能なオブジェク ト

• ポート (get_ports)

• DONTCARE (デフォルト )

• NORMAL

• HIGH

構文

Verilog 構文

この属性を設定するには、 適切な Verilog 属性構文を最上位出力ポート宣言の前に配置します。

(* VCCAUXIO = "{DONTCARE|NORMAL|HIGH}" *)

Verilog の構文例

// Specifies a “HIGH” voltage for the VCCAUX_IO rail connected to this I/O(* VCCAUX_IO = "HIGH" *) input ACT3,

Page 366: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 366UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

第 3 章: 主なプロパティの説明

VHDL 構文

この属性を設定するには、 最上位出力ポート宣言の前に適切な VHDL 属性構文を配置してください。

VHDL 属性は次のよ うに宣言します。

attribute VCCAUX_IO : string;

VHDL 属性は次のよ うに指定します。

attribute VCCAUX_IO of port_name : signal is value;

説明:

• port_name は最上位ポートです。

VHDL の構文例

ACT3 : in std_logic;attribute VCCAUX_IO : string;-- Specifies a HIGH voltage for the VCCAUX_IO rail connected to this I/Oattribute VCCAUX_IO of ACT3 : signal is “HIGH”;

XDC 構文

set_property VCCAUX_IO value [get_ports port_name]

説明:

• port_name は最上位ポートです。

XDC の構文例

# Specifies a HIGH voltage for the VCCAUX_IO rail connected to this I/Oset_property VCCAUX_IO HIGH [get_ports ACT3]

影響を受けるフローの段階

• I/O プランニング

• place_design

• report_power

Page 367: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 367UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

付録 A

その他のリソース

ザイリンクス リソース

アンサー、 資料、 ダウンロード、 フォーラムなどのサポート リ ソースは、 ザイ リ ンクス サポート サイ ト を参照して

ください。

ソリューシ ョ ン センター

デバイス、 ツール、 IP のサポートについては、 ザイ リ ンクス ソ リ ューシ ョ ン センターを参照してください。 デザイ

ン アシスタン ト、 デザイン アドバイザリ、 ト ラブルシューティングのヒン ト などが含まれます。

Documentation Navigator およびデザイン ハブ

ザイ リ ンクス Documentation Navigator (DocNav) では、 ザイ リ ンクスの資料、 ビデオ、 サポート リ ソースにアクセス

でき、 特定の情報を取得するためにフ ィルター機能や検索機能を利用できます。 DocNav を開くには、 次のいずれか

を実行します。

• Vivado IDE で [Help] → [Documentation and Tutorials] をク リ ッ ク します。

• Windows で [スタート ] → [すべてのプログラム] → [Xilinx Design Tools] → [DocNav] をク リ ッ ク します。

• Linux コマンド プロンプ トに 「docnav」 と入力します。

ザイ リ ンクス デザイン ハブには、 資料やビデオへのリ ンクがデザイン タスクおよびト ピッ クごとにま とめられてお

り、 これらを参照するこ とでキー コンセプ ト を学び、 よ くある質問 (FAQ) を参考に問題を解決できます。 デザイン ハブにアクセスするには、 次のいずれかを実行します。

• DocNav で [Design Hubs View] タブをク リ ッ ク します。

• ザイ リ ンクス ウェブサイ トのデザイン ハブ ページを参照します。

注記: DocNav の詳細は、 ザイ リ ンクス ウェブサイ トの Documentation Navigator ページを参照してください。

注意: DocNav からは、 日本語版は参照できません。 ウェブサイ トのデザイン ハブ ページをご利用ください。

Page 368: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 368UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

付録 A: その他のリソース

参考資料

このガイ ドの補足情報は、 次の資料を参照してください。

注記: 日本語版のバージ ョ ンは、 英語版よ り古い場合があ り ます。

1. 『7 シ リーズ FPGA コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG470: 英語版、 日本語版)

2. 『7 シ リーズ FPGA SelectIO リ ソース ユーザー ガイ ド』 (UG471: 英語版、 日本語版)

3. 『7 シ リーズ FPGA ク ロ ッキング リ ソース ユーザー ガイ ド』 (UG472: 英語版、 日本語版)

4. 『7 シ リーズ FPGA コンフ ィギャラブル ロジッ ク ブロ ッ ク ユーザー ガイ ド』 (UG474: 英語版、 日本語版)

5. 『7 シ リーズ FPGA パッケージおよびピン配置ユーザー ガイ ド』 (UG475: 英語版、 日本語版)

6. 『7 シ リーズ FPGA および Zynq-7000 SoC XADC デュアル 12 ビッ ト 1MSPS アナログ-デジタル コンバーター ユー

ザー ガイ ド』 (UG480: 英語版、 日本語版)

7. 『UltraScale アーキテクチャ コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG570: 英語版、 日本語版)

8. 『UltraScale アーキテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571: 英語版、 日本語版)

9. 『UltraScale アーキテクチャ ク ロ ッキング リ ソース ユーザー ガイ ド』 (UG572: 英語版、 日本語版)

10. 『UltraScale アーキテクチャ コンフ ィギャラブル ロジッ ク ブロ ッ ク ユーザー ガイ ド』 (UG574: 英語版、日本語版)

11. 『UltraScale および UltraScale+ FPGA パッケージおよびピン配置ユーザー ガイ ド』 (UG575: 英語版、 日本語版)

12. 『UltraScale アーキテクチャ システム モニター ユーザー ガイ ド』 (UG580: 英語版、 日本語版)

13. 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835)

14. 『Vivado Design Suite ユーザー ガイ ド : Tcl スク リプ ト機能の使用』 (UG894)

15. 『Vivado Design Suite ユーザー ガイ ド : システム レベル デザイン入力』 (UG895)

16. 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896)

17. 『Vivado Design Suite ユーザー ガイ ド : I/O およびクロ ッ ク プランニング』 (UG899)

18. 『Vivado Design Suite ユーザー ガイ ド : 合成』 (UG901)

19. 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903)

20. 『Vivado Design Suite ユーザー ガイ ド : インプリ メンテーシ ョ ン』 (UG904)

21. 『Vivado Design Suite ユーザー ガイ ド : 階層デザイン』 (UG905)

22. 『Vivado Design Suite ユーザー ガイ ド : デザイン解析およびクロージャ テクニッ ク』 (UG906)

23. 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908)

24. 『UltraFast 設計手法ガイ ド (Vivado Design Suite 用)』 (UG949)

25. 『Vivado Design Suite 7 シ リーズ FPGA ライブラ リ ガイ ド』 (UG953)

26. 『UltraScale アーキテクチャ ライブラ リ ガイ ド』 (UG974)

27. 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994)

28. 『Soft Error Mitigation Controller LogiCORE IP 製品ガイ ド』 (PG036: 英語版、 日本語版)

29. 『JTAG to AXI Master LogiCORE IP 製品ガイ ド』 (PG174)

30. 『Integrated Bit Error Ratio Tester 7 Series GTX Transceivers LogiCORE IP 製品ガイ ド』 (PG132)

Page 369: Vivado Design Suite - japan.xilinx.com

Vivado プロパティ リファレンス 369UG912 (v2019.2) 2020 年 1 月 15 日 japan.xilinx.com

付録 A: その他のリソース

31. 『Virtual Input/Output LogiCORE IP 製品ガイ ド』 (PG159)

32. Vivado Design Suite の資料

ト レーニング リソース

ザイ リ ンクスでは、 この資料に含まれるコンセプ ト を説明するさまざまな ト レーニング コースおよび QuickTake ビデ

オを提供しています。 次のリ ンクから関連する ト レーニング リ ソースを参照してください。

1. Vivado Design Suite QuickTake ビデオ チュート リ アル

2. Vivado Design Suite QuickTake ビデオ: デザイン制約の概要

3. Vivado での FPGA 設計導入ト レーニング コース

4. Vivado Design Suite での FPGA 設計実践

5. UltraScale アーキテクチャを含むデザイン

お読みください: 重要な法的通知本通知に基づいて貴殿または貴社 (本通知の被通知者が個人の場合には 「貴殿」、 法人その他の団体の場合には 「貴社」。 以下同じ ) に開示される情報 (以下 「本情報」 といいます) は、 ザイ リ ンクスの製品を選択および使用するこ とのためにのみ提供されます。 適

用される法律が許容する最大限の範囲で、 (1) 本情報は 「現状有姿」、 およびすべて受領者の責任で (with all faults) という状態で提供

され、 ザイ リ ンクスは、 本通知をもって、 明示、 黙示、 法定を問わず (商品性、 非侵害、 特定目的適合性の保証を含みますがこれ

らに限られません)、 すべての保証および条件を負わない (否認する ) ものと します。 また、 (2) ザイ リ ンクスは、 本情報 (貴殿または

貴社による本情報の使用を含む) に関係し、 起因し、 関連する、 いかなる種類 ・性質の損失または損害についても、 責任を負わな

い (契約上、 不法行為上 (過失の場合を含む)、 その他のいかなる責任の法理によるかを問わない) ものと し、 当該損失または損害に

は、 直接、 間接、 特別、 付随的、 結果的な損失または損害 (第三者が起こした行為の結果被った、 データ、 利益、 業務上の信用の

損失、 その他あらゆる種類の損失や損害を含みます) が含まれるものと し、 それは、 たとえ当該損害や損失が合理的に予見可能で

あったり、 ザイ リ ンクスがそれらの可能性について助言を受けていた場合であったと しても同様です。 ザイ リ ンクスは、 本情報に

含まれるいかなる誤り も訂正する義務を負わず、 本情報または製品仕様のアップデート を貴殿または貴社に知らせる義務も負いま

せん。 事前の書面による同意のない限り、 貴殿または貴社は本情報を再生産、 変更、 頒布、 または公に展示してはなり ません。 一

定の製品は、 ザイ リ ンクスの限定的保証の諸条件に従う こ と となるので、 https://japan.xilinx.com/legal.htm#tos で見られるザイ リ ンク

スの販売条件を参照してください。 IP コアは、 ザイ リ ンクスが貴殿または貴社に付与したライセンスに含まれる保証と補助的条件

に従う こ とにな り ます。 ザイ リ ンクスの製品は、 フェイルセーフと して、 または、 フェイルセーフの動作を要求するアプリ ケー

シ ョ ンに使用するために、 設計されたり意図されたり していません。 そのよ うな重大なアプリ ケーシ ョ ンにザイ リ ンクスの製品を

使用する場合のリ スク と責任は、 貴殿または貴社が単独で負う ものです。 https://japan.xilinx.com/legal.htm#tos で見られるザイ リ ンク

スの販売条件を参照してください。

自動車用のアプリ ケーシ ョ ンの免責条項

オートモーティブ製品 (製品番号に 「XA」 が含まれる ) は、 ISO 26262 自動車用機能安全規格に従った安全コンセプ ト または余剰性

の機能 ( 「セーフティ設計」 ) がない限り、 エアバッグの展開における使用または車両の制御に影響するアプリ ケーシ ョ ン ( 「セー

フティ アプリ ケーシ ョ ン」 ) における使用は保証されていません。 顧客は、 製品を組み込むすべてのシステムについて、 その使用

前または提供前に安全を目的と して十分なテス ト を行う ものと します。 セーフティ設計なしにセーフティ アプリ ケーシ ョ ンで製品

を使用する リ スクはすべて顧客が負い、 製品の責任の制限を規定する適用法令および規則にのみ従う ものと します。

© Copyright 2012-2020 Xilinx, Inc. Xilinx、 Xilinx のロゴ、 Artix、 ISE、 Kintex、 Spartan、 UltraScale、 Virtex、 Vivado、 Zynq、 およびこ

の文書に含まれるその他の指定されたブランドは、 米国およびその他各国のザイ リ ンクス社の商標です。 すべてのその他の商標は、

それぞれの保有者に帰属します。

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、 [email protected] まで、 または各ページの

右下にある [フ ィードバッ ク送信] ボタンをク リ ッ クする と表示されるフォームからお知らせください。 フ ィードバッ クは日本語で

入力可能です。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは受

け付けており ません。 あらかじめご了承ください。