129
NON DISTRUCTIVE NANOPARTICLE REMOVAL FROM SUB-MICRON STRUCTURES USING MEGASONIC CLEANING A dissertation presented by Pegah Karimi to The Graduate School of Engineering in partial fulfillment of the requirements for the degree of Doctor of Philosophy in the field of Mechanical Engineering Northeastern University Boston, Massachusetts October 2009

Non distructive nanoparticle removal from sub-micron ...1636/fulltext.pdfI would like to acknowledge many people who helped me during my studies in all these years. I owe my deepest

  • Upload
    others

  • View
    5

  • Download
    0

Embed Size (px)

Citation preview

  • NON DISTRUCTIVE NANOPARTICLE REMOVAL FROM SUB-MICRON

    STRUCTURES USING MEGASONIC CLEANING

    A dissertation presented

    by

    Pegah Karimi

    to

    The Graduate School of Engineering

    in partial fulfillment of the requirements

    for the degree of

    Doctor of Philosophy

    in the field of

    Mechanical Engineering

    Northeastern University

    Boston, Massachusetts

    October 2009

  • I would like to acknowledge many people who helped me during my studies in all these years.

    I owe my deepest gratitude to my parents and my uncle, Amoo, who always believed in me and

    supported me in every step of my life. Thank you for being my best teachers.

    I’m especially grateful to my husband and my best friend, Nima, who always encouraged me

    since the day that we met. He was and is the one who cherished my happiness and made me

    laugh during the hard moments of life. I would have never done it without you.

    I would like to thank my sisters, Parisa, Parvaneh and Parto. Talking to you for a few minutes in

    a day, were the invaluable moments of my life in the past 7 years. I wish we lived close to each

    other…

    I love you all and thank you for always being there for me. Your presence in my life is the most

    precious gift that I have.

    This thesis would have not been possible without the support and guidance of my Advisor,

    Professor Ahmed Busnaina. Thank you for always encouraging me and being so patience during

    my doctorial studies.

    Finally I would like to thank Dr. Somu and Tae hoon who helped me a lot during my research.

  • 1

    Abstract

    The removal of nanoparticles from patterned wafers is one of the main challenges facing

    the semiconductor industry. As the size of structures shrinks with each new generation of

    devices, it becomes more difficult to remove nanoscale particles. Nanostructures

    (specially, poly silicon lines) were found to be vulnerable to damage as a result of

    cavitation when megasocnic cleaning is utilized. Megasonics utilizes acoustic streaming

    to reduce the acoustic boundary layer and utilize the generated pulsating flow to remove

    nanoscale particle from trenches and other structures on the wafer. Although Megasonics

    is believed to be a solution for many of these cleaning challenges, it has been shown to

    cause severe damage to nanoscale device structures such as poly-silicon lines.

    Nanoparticle removal from nano size silicon trenches was investigated using polystyrene

    latex (PSL) particles. Submicron and nano size trenches were fabricated in silicon.

    Removal of 100nm and 200nm PSL particles from the nano size trenches was achieved

    using megasonic cleaning. Results indicate that megasonic power has more influence on

    the particle removal efficiency than cleaning time specially for large trenches.

    The cause of damage in megasonics cleaning was investigated. Our damage mechanism

    hypothesis is that cavitation damage does not occur at megasonic frequencies as shown

    by many over the last 3-4 decades but rather, secondary frequencies as low as 40 KHz

    exist in megasonic tanks with sufficiently high power to generate ultrasonic cavitation

    responsible for damage. Frequency and amplitude (power) measurements also show that

    traditional megasonic tank transducers generate many frequencies as low as 40 kHz at

  • 2

    high amplitude (power). Elimination all of the low frequencies (using a narrow band

    transducer) demonstrated that damage does not occur even at high power once the low

    ultrasonic frequencies (with high amplitude) are eliminated. Effective damage free

    removal of nanoscale particles was demonstrated at high amplitude (power). This shows

    that damage in a traditional megasonic tank is the result of these low frequencies and that

    by eliminating these low frequencies (with high amplitude) damage can be eliminated

    without sacrificing effective cleaning.

  • 3

    Table of Contents

    Abstract ............................................................................................................................... 1 List of Tables ...................................................................................................................... 5 List of Figures ..................................................................................................................... 6 Chapter 1 ........................................................................................................................... 10

    Introduction ....................................................................................................................... 10 Chapter 2 ........................................................................................................................... 13

    Background and Literature Review .................................................................................. 13 2.1. Megasonic Cleaning Theory .............................................................................. 13 2.2. Acoustic Streaming ............................................................................................ 16

    2.2.1. Theoretical Evaluation and Experimental Measurement of Acoustic

    Streaming Velocity in a Megasonic Cleaning Tank ................................................. 21 2.2.2. The Effect of Intensity of Acoustic Power and Frequency on Streaming

    Velocity 27 2.3. Boundary Layer Theory ..................................................................................... 30

    2.3.1. Laminar Boundary Layer ............................................................................ 32

    2.3.2. Turbulent Boundary Layer .......................................................................... 32

    2.3.3. Acoustic Boundary Layer ........................................................................... 34

    2.4. Particle Adhesion and Removal Theory............................................................. 37 2.4.1. Adhesion Force ........................................................................................... 37

    2.4.2. Electrostatic Double Layer Force ............................................................... 40 2.4.3. Drag Force .................................................................................................. 48 2.4.4. Particle Removal Mechanism ..................................................................... 52

    2.5. Cavitation ........................................................................................................... 55 Chapter 3 ........................................................................................................................... 68

    Nanoparticle Removal from Submicron Trenches ........................................................... 68 3.1. Introduction ........................................................................................................ 68 3.2. Experimental Procedures and Methods .............................................................. 72

    3.2.1. Fabrication of Nano size Trenches in Silicon ............................................. 72 3.2.2. Particle Deposition inside Trenches and Imaging ...................................... 76

    3.3. Results and Discussion ....................................................................................... 81 3.4. Summary ............................................................................................................ 87

    Chapter 4 ........................................................................................................................... 88 Investigation of Megasonic Damage ................................................................................ 88

    4.1. Introduction ........................................................................................................ 88 4.2. Hypothesis .......................................................................................................... 93 4.3. Experimental Procedure ..................................................................................... 95

    4.4. Results and Discussion ....................................................................................... 98 4.4.1. Frequency Measurement in Megasonic Tanks ........................................... 98

    4.4.2. Cleaning Performance of Narrow Bandwidth Megasonic Tank ............... 104 4.4.3. Damage Investigation on Polysilicon Structures .................................. 107

    4.5. Summary .......................................................................................................... 116

    Chapter 5 ....................................................................................................................... 117

  • 4

    Conclusion ...................................................................................................................... 117 5.1. The Removal of Nanoparticles from Nanoscale Structures ............................. 117 5.2. Damage-free Megasonic Removal of Nanoparticles ....................................... 118

    References ....................................................................................................................... 120

  • 5

    List of Tables

    2-1 Streaming velocity measured values and theoretical estimation……………… 21

    2-2 Maximum streaming velocity (m/s) at typical frequencies and powers……… 23

    2-3 Hamaker constants A132……………………………………………………….. 36

    4-1 Cleaning recopies tested in the batch megasonic tank…………………………. 87

  • 6

    List of Figures

    Figure 2-1: Actual picture of PCT‟s NPPD8 megasonic tank .......................................... 15

    Figure 2-2: Schema of PCT‟s NPPD8 megasonic tank .................................................... 15

    Figure 2-3: Megasonic tank geometry .............................................................................. 22

    Figure 2-4: Streaming velocities in a megasonic tank ...................................................... 26

    Figure 2-5: Streaming velocities vs. acoustic power ........................................................ 28

    Figure 2-6: Streaming velocity vs. frequency ................................................................... 28

    Figure 2-7: Streaming velocity profile in a megasonic tank for the typical acoustic powers

    and frequencies (open-ended channel).............................................................................. 29

    Figure 2-8: Velocity Boundary Layer ............................................................................... 30

    Figure 2-9: Turbulent Boundary Layer ............................................................................. 34

    Figure 2-10: Velocity distribution in the vicinity of an oscillating wall........................... 36

    Figure 2-11: Geometry used in the study of the interaction between dissimilar spherical

    particles ............................................................................................................................. 44

    Figure 2-12: Zeta Potential vs. pH 40, 42, 43

    ........................................................................ 48

    Figure 2-13: Water molecular compound ......................................................................... 50

    Figure 2-14: Distribution of the drag force on a particle .................................................. 52

    Figure 2-15: Rolling removal mechanism ........................................................................ 53

    Figure 2-16: Cavitation threshold as a function of frequency 56

    ....................................... 60

    Figure 2-17: Collapse of a spherical bubble near a solid surface67

    ............................. 63

    Pegah_last_v3.doc#_Toc251193303Pegah_last_v3.doc#_Toc251193304Pegah_last_v3.doc#_Toc251193305Pegah_last_v3.doc#_Toc251193307Pegah_last_v3.doc#_Toc251193308Pegah_last_v3.doc#_Toc251193310Pegah_last_v3.doc#_Toc251193313Pegah_last_v3.doc#_Toc251193313Pegah_last_v3.doc#_Toc251193315Pegah_last_v3.doc#_Toc251193316Pegah_last_v3.doc#_Toc251193317Pegah_last_v3.doc#_Toc251193319

  • 7

    Figure 2-18: SEM photograph of surface damage on silicon wafer caused by ultrasonic

    cleaning68

    .......................................................................................................................... 65

    Figure 2-19: Line Lifting during ultrasonic cleaning at 40kHz 68

    .................................... 66

    Figure 3-1: SEM Image of 200nm silicon trench ............................................................. 74

    Figure 3-2: SEM image of 500nm silicon trench.............................................................. 75

    Figure 3-3: SEM image of 800nm silicon trench.............................................................. 75

    Figure 3-4: SEM image of 2 micron silicon trench .......................................................... 76

    Figure 3-5: Particle deposition in a trench using a dip coater ........................................... 78

    Figure 3-6: Bright field optical image and SEM image of 800nm trenches ..................... 79

    Figure 3-7: Dark field optical microscopy at 1000X magnification, SEM 100nm

    fluorescent PSL at 5000X magnification .......................................................................... 80

    Figure 3-8: Removal of 100nm PSL particles in DIW after cleaning for 4 minutes ........ 82

    Figure 3-9: Removal of 100nm PSL particles in DIW after cleaning at 100% megasonic

    input power ....................................................................................................................... 82

    Figure 4-1: Intensity vs. device damage82

    ......................................................................... 89

    Figure 4-2: Correlation between MBSL and damage on a polysilicon structure83

    ........... 90

    Figure 4-3: (a) Calculated weak spot density is independent from the cleaning settings.

    (b) Damage event coverage is independent from the weak spot density and the highest

    coverage is ~1% (

  • 8

    Figure 4-6: Power vs. frequency; probe is placed ½ inch above the bottom of the tank and

    6 inches far from the transducer which is on .................................................................... 99

    Figure 4-7: Power vs. frequency; probe is placed ½ inch above the bottom of the tank and

    on top of the active transducer (transducer #1 and on top of one end of transducer) ....... 99

    Figure 4-8: Power vs. frequency; probe is placed ½ inch above the bottom of the tank and

    on top of transducer which is active (transducer #4) ...................................................... 101

    Figure 4-9: Power vs. frequency; probe is placed 1 inch above the bottom of the tank on

    transducer 3 which is active ............................................................................................ 102

    Figure 4-10: Narrow bandwidth transducer; power vs. frequency ................................. 103

    Figure 4-11: Removal Efficiency vs. power for 100nm PSL particles ........................... 105

    Figure 4-12: Removal Efficiency vs. power for 100nm aged PSL particles .................. 105

    Figure 4-13: Removal efficiency vs. power for 600nm silicon nitride particles…….…106

    Figure 4-14: Removal efficiency vs. power for 300nm silicon nitride particles……….107

    Figure4-15: SEM images of 120nm (A and C) and 150nm (B and D) lines after cleaning

    with 30% power for 5 minutes. While the single wafer megasonic tank damages the

    structures the narrow bandwidth transducer preserves the patterns…………………….108

    Figure 4-16: SEM images of 130nm (A and C) and 150nm (B and D) lines after cleaning

    with 50% power for 5 minutes. While the single wafer megasonic tank damages the

    structures the narrow bandwidth transducer preserves the patterns…………………….109

    Figure 4-17: SEM images of 120nm (A and C) and 150nm (B and D) lines after cleaning

    with 70% power for 5 minutes. While the single wafer megasonic tank damages the

    structures the narrow bandwidth transducer preserves the patterns………………….…111

  • 9

    Figure 4-18: SEM images of 120nm (A and C) and 150nm (B and D) lines after cleaning

    with 100% power for 5 minutes. While the single wafer megasonic tank damages the

    structures the narrow bandwidth transducer preserves the patterns…………………….112

    Figure 4-19: SEM images of 120nm (A and C) and 350nm (B and D) lines after cleaning

    with 100% power for 5 minutes. While the single wafer megasonic tank damages the

    structures the narrow bandwidth transducer preserves the patterns…………………….113

    Figure 4-20: low magnification picture for 120nm lines in figure 4-18………………..115

  • 10

    Chapter 1

    Introduction

    In semiconductor manufacturing, nanoparticles need to be removed from the surface of

    the wafers between hundreds of processes. Contaminants are responsible for most of the

    yield loss 1. Particles could results from etching process, chemical and physical vapor

    deposition and many other fabrication steps. These particles may block many processing

    operation and result in short or open circuits. In addition contaminants prevent good

    adhesion of deposited films to the wafer surface. For example the photoresisit residue

    may remain on the wafer surface after lithography and could carbonize when the wafer is

    heated in non-oxidizing ambients. Also if carbon on silicon substrate heated to more than

    800°C, the carbon and silicon will react and they form silicon carbide regions on the

    surface of wafer 2. Metallic contaminants, such as Fe and Cu, diffuse rapidly in silicon

    during thermal processing. If the metals are present on the surface and diffuse to the

    silicon bulk, they can cause structural defects at the interface 2. Ionic contaminants, such

    as Na, may cause different problems. Na diffuses fast in SiO2 and spreads rapidly through

  • 11

    the oxide surface and along the interface of SiO2 / Si. After typical cleaning, some

    cleaning chemicals remain on surfaces. After SPM (H2SO4/H2O2) cleaning and hot DI

    water rinse, SOX residue on flat surfaces can be the order of 1*1013

    atoms/cm2 or more.

    While after cleaning surface with deep submicron trenches, the amount of this residue on

    a surface is found to be 10 times more than on a flat surface 3.

    During integrated circuit(IC) fabrication process, trenches and vias have to be cleaned

    before the next processing step. The minimum particle size that can induce a killer defect

    depends on the minimum feature size and on the region where the particles located. A

    particle that exceeds ¼ of the minimum feature size has the potential for causing a fatal

    device defects. As feature size continues to decrease, technologies to remove smaller

    particles are required. The FEOL (front end of the line) critical particle size is expected to

    decrease to 9nm by the year 2018.4 As particle size decreases, the ratio of adhesion force

    over cleaning force increases 5. Therefore the smaller the particle the harder to overcome

    the adhesion force between particle and substrate. On the other hand wafer surfaces may

    have fine structures with high aspect ratio which become vulnerable to sidewall impact

    by physical force. The total adhesion and removal force of a particle depends on a radius

    of a particle. The adhesion force consists of van der Waals force and electrostatic double

    layer force.

    There are several particle removal techniques in semiconductor industry. They include

    plasma etching, liquid etching, brush cleaning, laser shock cleaning and megasonics

    cleaning. Megasonics cleaning was first introduced by the Radio Corporation of America

    (RCA) to the semiconductor industry 6. Megasonics is a highly advanced cleaning

    technology for nanoparticles. The megasonic waves (which are ultrasonic waves at higher

  • 12

    frequencies than 700 KHz) are generated by exciting a piezoelectric crystal. These

    crystals vibrate when they are subjected to an alternative electric field. Thus by exciting

    the piezoelectric ceramic at it‟s resonance frequency and optimizing the physical

    parameters, most of the electrical energy is converted into acoustical energy. This energy

    propagates through liquid in a process tank. In megasonic cleaning wafers are immersed

    in a cleaning liquid that sonic energy is applied. The intensity sound waves generate

    pressure fluctuation and acoustic streaming. This will result to the particle detachment

    and finally particle removal. It‟s revealed that the complete removal of particle down to

    100nm is achieved by using a single wafer megasonics and in DI water only

    7,8,9,10,11,12,13,14.

    It was observed that sonic cleans the surface of glass in the range of 15 KHz and 2.5

    MHz.15

    Also acoustic streaming and the thickness of boundary layer to remove small

    particles have been developed 16

    . According to previous studies acoustic streaming is a

    key in particle removal 5,8,11,17

    . The mechanism of particle removal including temperature,

    power density and frequency has been studied 18

    . In addition by increasing the frequency

    above 300 KHz, surface damage can be eliminated 19

    . Destruction and regenerating of the

    trapped vortex in the cavity results in the complete mixing of the main stream flow and

    fluid in the cavity and it has been shown that sinusoidal forced flow results the best

    mixing 20

    .Increasing of mass transfer in a deep cavity due to external steady channel flow

    was also investigated 21,22

    .

  • 13

    Chapter 2

    Background and Literature Review

    2.1. Megasonic Cleaning Theory

    The wet cleaning process is performed in a megasonic cleaning tank. Megasonic cleaning

    tank typically has piezoelectric transducer array at the bottom of the tank, which vibrate

    at a known frequency. A piezoelectric substance will become electrically polarized when

    mechanically stressed and will mechanically deform when electrically polarized.

    Alternating positive and negative polarization leads to alternating thickness of the

    material at the same frequency. This produces a sound wave which propagates through

    the bulk in the tank.

    Megasonic sound wave is a pressure wave traveling at the speed of sound. In water at

    ambient temperature, speed of sound(c) is 1481 m/s. Wave pressure is a function of

    position and time which governed by equation

    2

    2

    2

    2

    t

    p

    c

    1p , (2.1.1)

  • 14

    Where p = p(x, y, z, t) is the dynamic pressure. For a one-dimension ideal plane wave, the

    solution is given by

    ft2x2

    ptxp 0 sin),( , (2.1.2)

    where p0 is the pressure amplitude, f is the frequency, =c/f is the wavelength, and is

    the phase shift.

    If we define the angular wave number as /' 2k , and f2 as the angular

    frequency, equation (2.1.2) becomes

    txkptxp 0 'sin),( (2.1.3)

    The same form applies for particle velocity at a point, u(x,t), in terms of the velocity

    amplitude u0.

  • 15

    B ottom transducer

    DI water F low in

    DI-water F low out

    Wafer

    Acoustic s tream direction

    B ottom transducer

    DI water F low in

    DI-water F low out

    Wafer

    Acoustic s tream direction

    Figure 2-1: Actual picture of PCT’s NPPD8 megasonic tank

    Figure 2-2: Schema of PCT’s NPPD8 megasonic tank

  • 16

    As a sound wave travels through the medium, the attenuation of wave and loss of energy

    happens. Causes of attenuation are scattering, diffraction, and absorption23

    . Scattering

    occurs only when the fluid contains a significant number of particles or bubbles which

    reflect the sound beam. Diffraction occurs in the Fraunhofer zone or far field, which is

    the region beyond a distance, 4D2

    s / , from a circular source with diameter Ds.

    Attenuation near the transducer is caused mainly by absorption. In most liquids, including

    water, absorption is due mainly to the bulk viscosity. Considering the absorption, any

    wave function (such as pressure or velocity) becomes a function of e-αx

    , where is the

    absorption coefficient, which is a property of the medium. In water, at megasonic

    frequencies is equal to about 0.016 m-1

    Ultrasonic power is presented in terms of intensity I (power per unit area). For a wave

    propagating in one direction from a source, the intensity is given by 24

    c

    pI

    2

    2

    0 (W/m2) , (2.1.4)

    where is the density of the medium.

    2.2. Acoustic Streaming

    A well-known phenomenon is the fact that sinusoidal sound sources may generate a field

    in which the particle velocities are not simply sinusoidal. "Acoustic streaming" is defined

  • 17

    as the time-independent flow of fluid induced by a sound field. Its origins lie in the

    conservation of momentum dissipated by the adsorption and propagation of sound.

    The theories of acoustic streaming are given by Bradley 25

    and Nyborg 26

    . Zhao 27

    compared two theories and noted that Bradley and Nyborg derived the theories in a

    similar way.

    Note that Schlichting streaming is the streaming in boundary layers near surface of

    obstacles 28

    , Eckart streaming is the streaming in a free non-uniform sound field in which

    the in-homogeneity scale of the sound field is much larger than the acoustic wavelength

    29, and Micro-streaming is the streaming near secondary sound sources such as oscillating

    bubbles or vibrating particles on surfaces 30

    .

    Nyborg 26

    outlined the governing functions for acoustic streaming. For a linear,

    homogeneous, isotropic fluid the dynamical equation is

    uuf3

    4p ' , (2.2.1)

    where

    uuuuu

    )(t

    f , (2.2.2)

    the quantities p, , and u are, respectively, the pressure, density, and velocity, and and

    ' are the dynamic and bulk viscosity coefficients, respectively. We assume and ' to

    be constant with respect to time and space, though ' is a function of frequency.

    Combining with the continuity equation,

  • 18

    0t

    u , (2.2.3)

    we obtain

    uuuuuuu

    3

    4p

    t')(

    )( (2.2.4)

    Using the method of successive approximations, we can expand each variable as a series

    of terms decreasing magnitude as follows:

    21

    210

    210 pppp

    uuu

    ,

    ,

    (2.2.5)

    Here the zero-order quantities p0 and 0 give the static pressure and density (without an

    applied sound field). First-order quantities p1, 1 and u1 are the usual solutions of the

    linear wave equations. Thus, a quantity such as p1 will vary sinusoidally in time with

    amplitude proportional to the source amplitude. There exist two types of contributions to

    the 2nd-order quantities p2, 2 and u2. The first are second harmonic contributions, which

    vary sinusoidally in time with a frequency 2f, whereas the second are time-independent

    contributions. By the definition of "acoustic streaming" as "the time-independent flow of

    fluid induced by a sound field", the acoustic streaming velocity is given by u2. Since the

    first-order quantities are sinusoidal functions of time and frequency, they are represented

    as real parts of complex numbers with the time factor ei t

    .

    As an equation of state, Nyborg used

  • 19

    t

    Rcp 112

    1 , (2.2.6)

    where R is a real, frequency-dependent constant representing relaxation phenomena.

    Taking 1st-order approximations to (2.2.3) and (2.2.4), and using (2.2.5), the following

    equation for u1 can be found

    12

    1122 i2ibk2 uuu , (2.2.7)

    where c

    k is the angular wave number;

    2

    2 (Note: the variable is the reciprocal of the acoustic boundary layer

    thickness);

    R3

    4

    b0

    '

    After time-averaging, (2.2.7) becomes

    22 uuF2

    23

    4p ' , (2.2.8)

    where

    )()( 1111 uuuuF 0 (2.2.9)

  • 20

    The brackets denote time averaging. Equation (2.2.8) reduces to

    Fu2 22 p (2.2.10)

    The vector –F represents a time average (over several acoustic cycles) of the time rate of

    increase of momentum in the fluid element, and is analogous to an external force (such as

    gravity) driving a viscous flow31, 32

    . Note that the time-independent quantity F depends

    on the 1st-order velocity field, which is comprised of an irrotational component and an

    incompressible component, whose influence varies depending upon the type of flow.

    Hence, F is, in principle, determined once the 1st-order velocity u1 is known.

    All of these phenomena are believed to produce high shear stresses on the wafer surface,

    leading to the physical removal of contaminants. Streaming velocities become greater at

    higher frequencies due to high absorption, and because of this feature, noticeable Eckart

    streaming is generally observed at high frequencies. Since they reduce the radiation

    pressure gradient, wave reflections are avoided in Eckart streaming experiments. Eckart

    streaming is fundamentally different from the other two types in terms of the driving

    mechanism and features. This streaming does not depend on interactions of the sound

    fields with solid boundaries and is qualitatively different from the motions of Schlichting

    and Rayleigh streaming flows.

  • 21

    2.2.1. Theoretical Evaluation and Experimental Measurement of Acoustic

    Streaming Velocity in a Megasonic Cleaning Tank

    The acoustic wave in the bulk of the megasonic tank can be considered as an attenuated

    plane wave traveling between two infinite length parallel planes (see Figure 2-3). In this

    special case, the first-order velocity is irrotational and has only x-component. The

    appropriate solution of equation (2.2.7) is then given (in real variables) by 31, 32

    kxtAeux

    1 cos (2.2.11)

    F has only an x-component,

    x2201

    10x eAx

    uu2F , (2.2.12)

    where

    3

    4

    c2 300

    2' absorption coefficient

    00c

    I2A velocity amplitude for plane wave

    f2 angular frequency of the source

    I intensity of the sound wave source

    If 1x over the region of interest (when the attenuation can be neglected), Fx is

    nearly a constant and is given by

    20x AF (2.2.13)

  • 22

    For the case of an attenuated plane wave traveling between two infinite length parallel

    planes (Figure 2-3), we assume:

    i. The fluid-filled region of interested is between z=0 and z=h;

    ii. The infinite length rigid planes are non-slip surface;

    iii. 2u is primarily along x direction and its x-component u2x depends only on z.

    Under above conditions, equation (2.4.10) becomes

    0Fz

    ux2

    2

    2

    , (2.2.14)

    where x

    p2 is a constant.

    0

    z1

    h/2 h

    z

    x

    Transducer

    Figure 2-3: Megasonic tank geometry

  • 23

    The real part of 1st-order velocity and Fx in the region investigated can be written as

    kxtAeux

    1 cos for z1 z (h-z1) (2.2.15)

    0u1 for 0< z < z1 and (h-z1) < z

  • 24

    2

    22

    z

    u ' (2.2.21)

    with boundary condition: 0hu0u 22 )()(''

    .

    Thus, for 0

  • 25

    Measured streaming velocity:

    Glenn measured the steaming velocity in the bulk of megasonic tank at different power33

    .

    Based on the parameters in his measurement, streaming velocity is evaluated for both

    open-ended and closed-ended channel (see Table 2-1 and Figure 2-4). The measured

    streaming velocity is found falling between the theoretical values for a closed-ended

    channel and an open-ended channel.

    Table 2-1: Streaming velocity measured values and theoretical estimation 33

    Power Distance

    from center Measured velocity

    Theoretical streaming velocities

    Open-end

    channel

    Closed-end

    channel

    250W (2.9W/cm2) 1.58cm 8.4 – 10.2 cm/s 29.7 cm/s 5.2 cm/s

    250W (2.9W/cm2) 0.56cm 16.8 – 20.4 cm/s 30.4 cm/s 5.5 cm/s

    100W (1.2W/cm2) 3.38cm 3.4 cm/s 10.4 cm/s 0.9 cm/s

    100W (1.2W/cm2) 1.91cm 8.4 cm/s 11.5 cm/s 1.8 cm/s

  • 26

    0 5 10 15 20 25 30 35 40

    Distance From Tank Wall (cm)

    -10

    -5

    0

    5

    10

    15

    20

    25

    30

    35

    Str

    ea

    min

    gV

    elo

    city

    (cm

    /s)

    --

    -

    -

    --

    -

    -

    I = 2.90W/cm2, Measured

    Theoretical, open-end channel

    Theoretical, closed-end channel

    -

    I = 2.9 W/cm2

    f = 360 kHzCenter of tank

    a. I = 2.9 W/cm2

    0 5 10 15 20 25 30 35 40

    Distance From Tank Wall (cm)

    -5

    0

    5

    10

    15

    Str

    ea

    min

    gV

    elo

    city

    (cm

    /s)

    I = 1.20W/cm2, Measured

    Theoretical, open-end channel

    Theoretical, closed-end channel

    I = 1.2 W/cm2

    f = 360 kHzCenter of tank

    b. I = 1.2 W/cm2

    Figure 2-4: Streaming velocities in a megasonic tank

  • 27

    2.2.2. The Effect of Intensity of Acoustic Power and Frequency on Streaming Velocity

    At the center of the tank (z=h/2), acoustic streaming velocity reaches maximum value.

    For an open-ended channel,

    2

    1

    22

    4

    00

    22

    1

    2

    z2

    hIf

    3

    82

    cz

    2

    hBu

    '

    max )( (2.2.25)

    Acoustic streaming velocity is proportional to the intensity of acoustic power and the

    square of frequency. Maximum acoustic streaming velocities for the typical powers and

    frequencies of the megasonic cleaning tank are shown in Table 2-2 and Figure 2-5 and 2-

    6. Acoustic streaming velocity profiles (open-ended channel) in a megasonic tank (half

    tank) for the typical acoustic powers and frequencies are estimated in Figure 2-7.

    Table 2-2: Maximum streaming velocity (m/s) at typical frequencies and powers

    Intensity

    (W/cm2)

    Frequency

    2.5

    5

    7.5

    10

    15

    20

    25

    30

    360 k Hz 0.27 0.53 0.80 1.07 1.60 2.14 2.67 3.20

    760 k Hz 1.19 2.38 3.57 4.76 7.14 9.52 11.90 14.28

    850 k Hz 1.49 2.98 4.47 5.96 8.93 11.91 14.89 17.87

    1 M Hz 2.06 4.12 6.18 8.24 12.36 16.48 20.61 24.73

  • 28

    Figure 2-5: Streaming velocities vs. acoustic power

    Figure 2-6: Streaming velocity vs. frequency

  • 29

    5 10 15 20

    Distance From Tank Wall (cm)

    0

    100

    200

    300

    v(c

    m/s

    )

    I = 2.50W/cm2

    I = 5.00W/cm2

    I = 7.50W/cm2

    I = 10.00W/cm2

    I = 12.50W/cm2

    I = 15.00W/cm2

    I = 17.50W/cm2

    I = 20.00W/cm2

    I = 22.50W/cm2

    I = 25.00W/cm2

    f = 360k Hz

    5 10 15 20

    Distance From Tank Wall (cm)

    0

    100

    200

    300

    400

    500

    600

    700

    800

    900

    1000

    1100

    1200

    v(c

    m/s

    )

    I = 2.50W/cm2

    I = 5.00W/cm2

    I = 7.50W/cm2

    I = 10.00W/cm2

    I = 12.50W/cm2

    I = 15.00W/cm2

    I = 17.50W/cm2

    I = 20.00W/cm2

    I = 22.50W/cm2

    I = 25.00W/cm2

    f = 760k Hz

    a. f = 360 kHz b. f = 760 kHz

    5 10 15 20

    Distance From Tank Wall (cm)

    0

    100

    200

    300

    400

    500

    600

    700

    800

    900

    1000

    1100

    1200

    1300

    1400

    1500

    v(c

    m/s

    )

    I = 2.50W/cm2

    I = 5.00W/cm2

    I = 7.50W/cm2

    I = 10.00W/cm2

    I = 12.50W/cm2

    I = 15.00W/cm2

    I = 17.50W/cm2

    I = 20.00W/cm2

    I = 22.50W/cm2

    I = 25.00W/cm2

    f = 850k Hz

    5 10 15 20

    Distance From Tank Wall (cm)

    0

    500

    1000

    1500

    2000

    v(c

    m/s

    )

    I = 2.50W/cm2

    I = 5.00W/cm2

    I = 7.50W/cm2

    I = 10.00W/cm2

    I = 12.50W/cm2

    I = 15.00W/cm2

    I = 17.50W/cm2

    I = 20.00W/cm2

    I = 22.50W/cm2

    I = 25.00W/cm2

    f = 1 M Hz

    c. f = 850 kHz d. f = 1 MHz

    Figure 2-7: Streaming velocity profile in a megasonic tank for the typical acoustic powers and

    frequencies (open-ended channel)

  • 30

    2.3. Boundary Layer Theory

    When fluid flows along a no-slip plate, the friction of the surface retards the motion of

    the fluid in a thin layer near the wall. In that thin layer, the velocity of the fluid increases

    from zero at wall (no slip) to U, its full value that corresponds to external frictionless

    flow (free stream). The layer under consideration is called the velocity boundary layer.

    The velocity boundary layer thickness is defined as the value of y where u = 0.99U.

    For steady 2-D incompressible viscous flow, when gravity is neglected, continuity and

    momentum equations are

    0y

    v

    x

    u (2.3.1)

    )(2

    2

    2

    2

    y

    u

    x

    u

    x

    p

    y

    uv

    x

    uu (2.3.2)

    Velocity boundary layer

    Free stream

    U U (x)

    x

    y

    Figure 2-8: Velocity Boundary Layer

  • 31

    )(2

    2

    2

    2

    y

    v

    x

    v

    x

    p

    y

    vv

    x

    vu (2.3.3)

    In 1904 L. Prandtl investigated the essential influence of viscosity in flows and clarified

    that a shear layer must be very thin if the Reynolds number is large. Thus the following

    approximations apply to a boundary layer 28

    :

    i. L , where L is the characteristic length of the plate;

    ii. v

  • 32

    2.3.1. Laminar Boundary Layer

    For laminar flow past the plate, it is assumed that the free-stream velocity U is a constant

    ( 0dx

    dU). The boundary-layer equations were solved by Blasius and later on by

    Howarth with an increased accuracy 28

    . The laminar velocity boundary layer thickness is

    given by

    xUx

    arLa

    2

    1

    min 0.5 (2.3.7)

    Karman 34

    assumed that the velocity profiles had an approximation parabolic shape. The

    velocity inside a boundary layer is given by

    )(),( xy0yy2

    Uyxu2

    2

    (2.3.8)

    2.3.2. Turbulent Boundary Layer

    In the turbulent boundary layer, three different regions may be delineated (Figure 2-9).

    Near the wall there is a laminar sub-layer in which transport is dominated by diffusion

    and the velocity profile is nearly linear. There is an adjoining buffer layer in which

    diffusion and turbulent mixing are comparable. Finally, in turbulent region, transport is

    dominated by turbulent mixing.

  • 33

    According to Prandtl‟s theory, turbulent boundary layer thickness is given by

    xUx

    1607

    1

    Turbulent . (2.3.9)

    We define dimensionless velocity and length

    *

    *

    yUy

    U

    uu

    (2.3.10)

    Here, U*, shear velocity (or friction velocity), is defined as

    0*U (2.3.11)

    where 0 denotes the shearing stress at the wall.

    The average velocity distribution may be expressed as

    Laminar sub-layer (y+ < 5): yu (2.3.12)

    Buffer layer (5 < y+ < 30): 21y10arctg10u .).( (2.3.13)

    Turbulent region (y+ > 30):

    7

    1

    y

    U

    u

    turb

    (2.3.14)

  • 34

    Figure 2-9: Turbulent Boundary Layer

    2.3.3. Acoustic Boundary Layer

    Oscillating flow outside a static flat plate can be treated as a flat plate oscillating in a

    fluid at rest if we fix the coordinates on the fluid particle outside the boundary layer.

    Then the problem becomes Stokes‟s second problem, namely, the flow nears an

    oscillating flat plane. Selecting the x-axis along the wall in the direction of U, the

    simplified Navier-Stokes equation is

    2

    2

    y

    u

    t

    u (2.3.14)

  • 35

    For no slip surface, the motion at the wall is given by

    y=0: )cos(),( tUt0u (2.3.16)

    The solution is 28

    )cos(),( kytUetyuky

    (2.3.17)

    where

    2

    k (2.3.18)

    The velocity profile ),( tyu thus has the form of a damped harmonic oscillation, the

    amplitude of which is 2y

    Ue , in which a fluid layer at a distance y has a phase lag

    2y with respect to the motion of the wall. Figure 2-10 represents this motion for

    several instants of time. Two fluid layers, a distance 2

    2k

    2y apart, oscillate in

    phase. The layer, which is carried by the wall, has a thickness of the order 2

    ~ and

    decreases for increasing frequency and decreasing kinematic viscosity. It is worth to

    mention that the amplitude of velocity decreases to 0.18% in the layer

    (2

    2k

    2y ).

  • 36

    0 1 2 3 4 5 6

    -1

    -0.75

    -0.5

    -0.25

    0

    0.25

    0.5

    0.75

    1u

    /U

    y

    Figure 2-10: Velocity distribution in the vicinity of an oscillating wall

    The acoustic boundary layer thickness 35

    is a function of the acoustic frequency

    ( = 2 f) and the viscosity of the cleaning liquid ,

    2

    1

    ac

    2 (2.3.19)

    One of the most important aspects of megasonic cleaning is the thickness of the

    acoustic boundary layer, which is very small compared to a typical hydrodynamic

    boundary layer at the same velocity.

  • 37

    2.4. Particle Adhesion and Removal Theory

    Particles can be removed through the following mechanisms; lifting, sliding, and rolling.

    The removal process may be a combination of two of these mechanisms. In megasonic

    non-contact cleaning technique, the rolling mechanism is dominant. Particle removal in

    megasonic cleaning relies on acoustic streaming and on the reduction of the boundary

    layer thickness on the substrates. In addition to the physical megasonic effect in removing

    particles, the proper use of chemical cleaning solution has shown a big improvement in

    cleaning efficiency by the introduction of an electrostatic repulsion between particles and

    wafer.

    2.4.1. Adhesion Force

    The adhesion of particles is determined in the first instance by the omni-present van der

    Waals forces. Van der Waals force arises due to the polarizability of the molecules. This

    force arises from the short-period movement of the electrons in the atoms or molecules

    giving rise to momentary areas of charge concentrations called dipoles. Although no net

    charges need to be present, the dipole-induced effect gives rise to attractive forces, which

    are electrical in nature 36

    .

    For the case of an un-deformed sphere and rigid plane, this force is proportional to the

    radius of sphere 37

    . Since the magnitude of the adhesion force between a particle and a

    substrate depends on the contact area and deformations of the contacting materials can

    therefore increase the adhesion forces 38

    . When a sphere and a flat substrate come into

    contact with each other, according to Bowling 37

    , the attractive force vdWF deforms the

  • 38

    interface, and a circular adhesion area is formed between the adherents. The total

    adhesion force consists of two additive components, namely, the force acting between the

    adherents before deformation at the instant of the first contact, vdWF , and the force acting

    on the contact area due to the deformation, deformvdW

    F ,

    deformvdWvdWa FFF (2.4.1.1)

    Bowling gave the total van der Waals force including the component due to the

    deformation as

    0

    2

    0deformvdWvdWaRz

    a1FFFF , (2.4.1.2)

    where0

    H0

    z6

    RAF is the van der Waals force for the spherical particle. Therefore, for the

    case of a spherical particle resting on a flat substrate, the particle adhesion force includes

    van der Waals force and adhesion-induced deformation can be expressed as:

    0

    2

    2

    0

    HdeformvdWvdwa

    Rz

    a1

    z6

    RAFFF , (2.4.1.3)

  • 39

    where AH is the Hamaker-van der Waals constant, R is the radius of the spherical

    particle, 0z is the separation distance between the particle and the substrate (For smooth

    surfaces, it is taken as 4Å) and a is the contact radius between the deformed particle and

    the surface.

    The contact radius, a, results from adhesion-induced plastic deformation.

    H

    RW2a a , (2.4.1.4)

    where Wa is work of adhesion between particles and surface. 21a 2W , 1 and 2 are

    surface free energy of the two contact materials. H is the deformation part‟s hardness.

    The relations between the Hamaker constants of two dissimilar materials may be

    represented by 39

    221112 AAA , (2.4.1.5)

    where 11A and 22A are the Hamaker constants for substances “1” and “2”. In the presence

    of a medium denoted by “3”, the net interaction between substances 1 and 2 is given by

    33223311132 AAAAA , (2.4.1.6)

    where 33A is the Hamaker constant for the medium “3”. Hamaker constants for typical

    substrate-medium-particle system are given in Table 2-3 40

    .

  • 40

    Table 2-3: Hamaker constants A132 40

    Substrate-medium-particle A132 (J)

    SiO2-H2O- SiO2 3.40 10-21

    SiO2-H2O- Al2O3 1.07 10-20

    SiO2-H2O- PSL 3.90 10-21

    SiO2-H2O- Si3N4 1.60 10-20

    2.4.2. Electrostatic Double Layer Force

    The particles suspended and surfaces immersed in a liquid are usually charged by the

    adsorption of the ions from solution. The charge on the surface of the particle or any

    other surface immersed in the liquid is balanced by an equal but oppositely charged layer

    in the adjacent liquid, resulting in a so-called electrical double layer. The well-known

    HHF model is presented by Hogg, Healy, and Fuerstenau in 1966 41

    . In the HHF model,

    the DLVO theory has been simplified by use of the Debye-Hückel approximation for low

    surface potentials 41

    .

    According to the Gouy-Chapman model 41

    of the electrostatic double layer around a

    colloidal particle, the potential is defined as

    '

    sinhkT

    zecez82 , (2.4.2.1)

    where is the potential in e.s.u., is the dielectric constant of the suspending medium, z

    is the valence of the ionic species in solution, c is the concentration (ions/cm3) of the

  • 41

    same species in the bulk of the solution, e is the electronic charge, k is the Boltzmann

    constant, and T’ is the absolute temperature. Equation (2.4.2.1) is the simplified equation

    for the case where the supporting electrolyte is symmetrical, i.e., cations and anions have

    the same valence.

    Under the condition, the potential is always small (

  • 42

    )xsinh(A)xcosh(A 21 (2.4.2.5)

    Boundary conditions are:

    1. 01 , at x=0;

    2. 02 , at x=h.

    Applying these conditions, equation (2.4.2.5) becomes

    xsinhhsinh

    hcoshxcosh 010201 (2.4.2.6)

    The surface charge density at a plane surface is given by

    0

    0

    x

    rdx

    d (2.4.2.7)

    Thus,

    )coth()(cos 010201 hhechr (2.4.2.8)

    )(cos)coth( 010202 hechhr (2.4.2.9)

    When the surface potential is constant and small (Debye-Hückel approximation), the free

    energy of a single double layer is given by

  • 43

    02

    1G (2.4.2.10)

    Thus, Gh, the free energy of the double layer system, is equal to the sum of the free

    energies of the separate double layers, i.e.,

    hhechG rh cothcos222

    1 202

    2

    010201

    0

    022011 (2.4.2.11)

    It follows from equation (2.4.2.11) that, as the separation of the plate becomes large,

    h ,

    2

    02

    2

    01

    0

    2

    rG (2.4.2.12)

    The potential energy V1 of interaction between two double layers is equal to the change

    in free energy of the double layer system when the plates are brought together from

    infinity.

    GGGV h1 (2.4.2.13)

    Substituting for Gh and G in equation (2.4.2.13),

    hechhV r cos2coth12

    0201

    2

    02

    2

    01

    0

    1 (2.4.2.14)

  • 44

    Equation (2.4.2.14) expresses the potential energy of interaction of two parallel, infinite,

    flat double layers as a function of the surface potential of each plate, and the separation of

    the plate.

    Now we consider the interaction between two spherical double layers. According to

    Derjaguin 41

    , provided the thickness of the double layers is small compared to the particle

    size, the interaction between double layers on spherical particles may be assumed to be

    made of contributions from infinitesimally small parallel rings, each of which can be

    considered as a flat plate. The energy VR of interaction between the spherical double

    layers is then given by

    0

    2 dhhVV IR , (2.4.2.15)

    where VI is defined by equation (2.4.2.14), and h is the radius of the ring as shown in

    Figure 2-11.

    From the geometry in figure 2-11,

    H0

    h a2

    H

    a1 h

    Figure 2-11: Geometry used in the study of the

    interaction between dissimilar spherical particles

  • 45

    22

    222

    1210 hahaaaHH (2.4.2.16)

    Differentiation yields

    hdh

    a

    ha

    a

    ha

    dH

    2

    2

    2

    22

    1

    2

    1 1

    1

    1

    1 , (2.4.2.17)

    which, for h

  • 46

    H

    2

    02

    2

    01

    0201

    H2

    H2

    02

    2

    01

    21

    210rR e

    2

    e1

    e

    aa

    aaF (2.4.2.21)

    Equation (2.4.2.21) is a completely general expression of the force of interaction of two

    dissimilar colloidal particles. However, the relationship only holds exactly for values of

    01Ψ and/or 02Ψ of less than 25mV and for solution conditions that the double layer

    “thickness” is small compared to the particle size.

    For the case of a charged particle near a charged wall, 12 aa , equation (2.4.2.21)

    reduces to

    H

    2

    02

    2

    01

    0201

    H2

    H2

    02

    2

    010rR e2

    e1

    eaF , (2.4.2.22)

    where RF is electrostatic double layer force interacting between a sphere of radius R and

    a plate with constant potential. 01 is the zeta potential of the particle of radius R, 02 the

    zeta potential of the substrate, r the dielectric constant of the medium, 0 the dielectric

    permittivity of a vacuum and the Debye-Huckel parameter of the electrolyte solution.

    Zeta potential is a function of the electrolyte concentration C and pH. Zeta potential

    decreases as pH increases; it is typically positive at low pH, and negative at high pH. The

    point at which the zeta potential of a solid surface is zero is referred to as its isoelectric

    point or point of zero charge (PZC). The PZC of different solids depend on the H+ and

    OH- ion concentrations in the solution, and therefore occur at different pH values (pH = -

  • 47

    log[H+] ). When the sign of the zeta potential of the particle and of the substrate (wafer)

    is the same and the absolute value is large, a strong repulsion occurs between the particle

    and the substrate, enhancing particle separation from the substrate, preventing the

    redeposition. At the pH of water, silica, PSL, PVA, and tungsten particles are all

    negatively charged. Thus, repulsion is expected between particles and the substrates,

    which are of these materials. As a contrast, alumina and silicon nitride (Si3N4) particles

    typically carry a positive charge at the pH of water and thereby they will be attracted to

    the silicon wafer surface and hard to remove. The high negative zeta potentials are

    measured at high pH solution for SiO2, Si3N4, Al2O3, tantalum pentoxide, tungsten,

    polyvinyl alcohol (PVA), and also for Si and PSL 40, 42, 43

    . Therefore, using high pH

    cleaning solution, such as SC1 and ammonia, will help to remove particles from the

    substrates, which are of these materials. For some materials such as Si3N4, silica,

    tantalum pentoxide, the high positive zeta potentials are measured at very low pH

    solution. With a similar idea, using low pH cleaning solution, such as HF, also results in

    repulsive electrostatic double layer force. However, low pH cleaning solution should be

    carefully checked because the acid usually acts as a very aggressive etchant.

  • 48

    Figure 2-12: Zeta Potential vs. pH 40, 42, 43

    2.4.3. Drag Force

    Flow past a particle leads to a drag force acting on the particle. The general expression of

    the drag force on an immersed particle with a characteristic area A0 is 34

    0

    2

    lDd A2

    uCF (2.4.3.1)

    The drag force on a spherical particle in a Newtonian fluid can be expressed by the

    following equation

  • 49

    22

    8ud

    C

    CF pl

    C

    Dd , (2.4.3.2)

    where

    CD is drag coefficient. In water at 20 C, if u = 4 m/s, for 10 nm ~ 10 micron

    particles, Rep=0.04 ~ 40. In this region 52

    , 6

    124

    32 /

    p

    p

    D

    Re

    ReC ;

    l is the density of the cleaning liquid;

    dp is the diameter of the particle;

    u is the streaming velocity;

    CC is Stokes-Cunnigham slip correction.

    An important assumption in deriving Stokes‟ relation and in determining the

    correlations determined from experiments (with Kn 0.1), the no-slip boundary condition no

    longer holds. In 1910 Cunningham derived a correction factor for Stokes‟ law to

    account for this effect 44

    . The expression for Cc is

    KnC eKnC

    550.0400.0257.121 (2.4.3.3)

    The Kundsen number, Kn, is used to describe the interaction between the particle and

    fluid. Kn is defined as

  • 50

    pd

    2Kn

    ' , (2.4.3.4)

    where ‟ is the fluid‟s mean free path ---- the average distance traveled by a molecule

    between successive collision. For gas, is defined as

    22

    mn

    2 d2

    NM

    d2

    m

    nd2

    1 /' (2.4.3.5)

    Using the same definition to water, M=18g/mol, N= 6.02e+23 #/mol, =998kg/m3.

    Water is a molecular compound containing H2O molecules in which two hydrogen atoms

    are bound to the oxygen atom forming an angle of 104.5o.

    45 As a simplification, we

    H H

    O

    104.5o

    O-H bond length is

    95.7 picometres

    (9.57e-11m)

    Figure 2-13: Water molecular compound

  • 51

    assume dH2O= 2*9.57e-11m = 1.914e-10m, then =1.84e-10m. For a 0.01micron particle,

    Kn=0.0368, Cc = 1.12. Therefore, for particles larger than 1e-8m, or 10 nano-meter, Kn

    ~ 0, Cc 1. Using Cc = 1, for a spherical particle in a uniform stream, equation

    (2.4.1.1) and (2.4.1.2) can both be written as

    22

    8udCF plDd (2.4.3.6)

    In our case, we focus on the drag force acting to a micro-scale or nano-scale particle

    resting on a solid surface by the flow. For the particle in micro-scale, it usually totally or

    partially immerses inside the boundary layer. The velocity, depending on different

    boundary layer, is not uniform on the whole particle. By dividing a particle into small

    characteristic sub-areas, considering velocity profile in the boundary layer, equation

    (2.4.1.1) is used to evaluate the drag force.

    In linear shear flow boundary layer, distribution of the drag force on a particle is shown

    in Figure 2-14.

  • 52

    0

    0.2

    0.4

    0.6

    0.8

    1

    Velocity Profile 1 micron particle Drag Force Distribution

    2.4.4. Particle Removal Mechanism

    Three possibilities exist when the detachment of submicron particles from a solid support

    happens: a particle may either slide, roll, or be lifted away from its initial deposition site

    in the removal process.

    Sliding detachment

    In the wet cleaning process in the study, the particle will be removed by sliding if

    ar FF , (2.4.4.1)

    where rF is external removal force (i.e. the fluid drag force) acting on the particle

    parallel to the surface, and aF is the adhesion between the particle and the surface.

    Lifting detachment

    When external force (i.e. the fluid lift force and electrostatic double layer repulsion)

    overcomes the adhesion force, the particle will be lift detached.

    1.4R

    Fd

    Ai

    y

    Figure 2-14: Distribution of the drag force on a particle

  • 53

    Rolling moment detachment

    Figure 2-15 shows the geometric features of a deformed spherical particle attached

    to a plane surface. The particle will be detached by rolling when the external force

    moment about the point „O‟, which is located at the rear perimeter of the contact circle,

    overcomes the resisting moment due to the adhesion force.

    In this study, only the drag force Fd, electrostatic double layer repulsive force Fel, and

    adhesion force Fa are considered. The ratio of the removal moment to the adhesion

    resisting moment, RM, is given by 46

    :

    momentresistingAdhesion

    momentmovalRM

    Re (2.4.4.2)

    In linear shear flow boundary layer, distribution of the drag force on a particle is

    shown in Figure 2-15. The arm of drag moment is 1.399R from the plane surface,

    which can be found by

    Fa

    U

    O

    1.399R

    Fd

    '

    a

    Mr

    Ma

    Fel

    y

    Figure 2-15: Rolling removal mechanism

  • 54

    RdyF

    ydyF

    forceofSum

    momentofSumR

    id

    R

    id

    399.12

    0,

    2

    0,

    (2.4.4.3)

    Thus,

    22

    a

    eld

    aRR

    aF

    aFR3991FRM

    '

    '.

    , (2.4.4.4)

    where R is the particle radius, and a is the contact radius. When the removal moment

    overcomes the adhesion resisting moment, namely, when RM>1, the particle is removed

    by rolling. The force required to remove a particle by rolling is less than that required by

    sliding or lifting.

  • 55

    2.5. Cavitation

    Cavitation is the formation and collapse of bubbles of either gas or vapor in a liquid

    subjected to pressure changes. Cavitation can be stable or transient. Stable

    cavitation(associated mainly with gaseous cavities) entails only small oscillations about

    an equilibrium bubble radius, while transient cavitation(associated mainly with vaporous

    cavities) is characterized by large bubble size variations and eventual bubble collapse

    which can frequently be quite violent47

    . The formation of cavities in liquids is somewhat

    analogous to tensile failure in solids. When the “tensile strength” of a liquid exceeded,

    cavities form. Actual values of these “strength” are much lower than the theoretical

    values. In water, for example, the theoretical tensile strength is approximately 1000 bar,

    whereas in practice it is only 1 bar. As with solids, this is a result of imperfections in the

    material; in liquids these imperfections are gas pockets found in solid contaminants or

    other immersed solid surfaces48

    . These gas pockets serve as nuclei for cavitation.

    Sufficiently high pressure amplitude, known as the cavitation threshold, must be reached

    in order for transient cavitation to occur.

    The nucleation of cavities can be described as homogeneous and heterogeneous47

    .

    Homogeneous cavitation nucleation refers to bubble formation in a homogeneous liquid,

    whereas in heterogeneous cavitation nucleation bubbles form from an already existing

    gas pocket or microbubble. Homogeneous nucleation requires very high pressure

    amplitudes and most nucleation in ultrasonics is heterogeneous47

    . The most successful

    model of heterogeneous nucleation in terms of consistency with observed phenomena has

    been the crevice model, in which gas pockets found in crevices on contaminant particles

  • 56

    or other solid surfaces in the liquid account for cavitation nucleation. Since the motion of

    small particles will be governed by diffusion, preventing them from settling, they will

    remain throughout the liquid. The crevices are simply pockets that are not wetted. The

    requirement of a “critical” crevice size above which cavitation can occur has been

    suggested48

    . A gas bubble in a liquid tends to dissolve due to the “Laplace pressure”,

    which is an internal pressure resulting from surface tension. For a free bubble the Laplace

    pressure is given by 2σ/R where σ is the liquid-gas surface tension and R is the bubble

    radius. However, these bubbles can stabilized by crevice in solids (in which surface

    tension actually serves to stabilize pockets), surface active organic skins, or hydrophobic

    ions. It is these nuclei which evidently grow, through a process called “rectified

    diffusion”, forming cavitation bubbles. Rectified diffusion is diffusion of dissolved gas

    from the liquid to the nuclei, and vice versa, with the pressure oscillations causing a net

    diffusion into the cavity. This net inward diffusion occurs because the cavity surface area

    is increasing during inward diffusion and decreasing during outward diffusion (a higher

    surface area leading to more diffusion) 49

    . Additionally, there is a “shell effect”47

    which

    contributes to rectified diffusion since the diffusion rate of gas in a liquid is proportional

    to the concentration gradient of dissolved gas. When a bubble contracts, the spherical

    shell of liquid surrounding it expands and the concentration of gas near the bubble wall

    decreases. Thus the rate of diffusion of gas away from the bubble is greater than when the

    bubble is at its equilibrium radius. Conversely, during expansion of the bubble the liquid

    shell contracts and the concentration of gas near the bubble increases, thus increasing the

    rate of gas diffusion toward the bubble. The net effect is to enhance rectified diffusion,

    thus leading to the net bubble growth.

  • 57

    If the liquid is not saturated with gas, the rectified diffusion must be competing with

    ordinary diffusion from the cavity to the liquid. Thus the sound pressure amplitude must

    exceed a certain value in order for the nucleus to increase significantly in size. After high

    enough amplitude is reached the nucleus becomes unstable and rapidly grows into a

    mostly vapor-filled bubble which is a transient cavity (whereas stable cavities are

    primarily gas-filled). The cavitation threshold, defined as the minimum pressure

    amplitude to induce cavitation, has been studied for water as a function of various liquid

    properties47

    . It is strongly dependent upon the size of nuclei (gas pockets), decreasing as

    the radius of the nucleus increases49

    . It‟s very unlikely that the size of nuclei in a liquid

    will be known, and a range of sizes can be expected. Cavitation threshold has been found

    to decrease with increasing surface tension, increases with increasing hydrostatic pressure

    (under most condition), and decreases with increasing temperature(dropping to zero near

    the boiling point) and decreases with increasing number of solid contaminants. Since a

    lower cavitation threshold indicates the cavitation occurs more readily, this suggests that

    the condition in which cavitation could be mitigated would be low surface tension, high

    hydrostatic pressure, low temperature and as few solid surfaces and contaminants as

    possible(or solid surfaces that are as smooth as possible). Reduction of amount of

    hydrophobic ions (such as Cl- and F

    -) will decrease cavitation threshold, since these ions

    collect at bubble surfaces and prevent cavitation bubbles from dissolving. Hydrophilic

    ions, such as OH- , do not have this effect

    47.

    In the sonic cleaning of silicon wafers, the two important aspects of cavitation are its

    effect on particle removal and its infliction of surface damage. The conditions under

  • 58

    which each these occurs need to be known and understood. While the study of a surface

    damage due to cavitation is relatively straightforward matter19,50,51,52,53

    , the study of

    cavitation induced particle removal is difficult since acoustic streaming is also particle

    removal mechanism. Particle removal as a function of various parameters can be

    determined, but whether the removal is a result of cavitation or streaming (or both) is not

    always clear. It is useful to know at what frequencies and acoustic intensities cavitation

    will occur in a cleaning bath.

    The existence of cavitation at low frequencies, up to 100 KHz, is well known.

    Shwartzman, et. al. 6, concluded from their pioneering work in megasonic cleaning that in

    the range of850 KHz to 900 KHz there is insufficient time between pulses to allow the

    formation of cavitation bubbles. The intensity was 5-10 W/cm2 in their experiments.

    Studies have confirmed that the cavitation threshold pressure increases considerably with

    increasing frequency45

    . Noltingk and Neppiras, in a review paper on cavitation, assert

    that increasing the frequency can decrease the intensity of cavitation to zero54

    . At 1.5

    MHz and 18 W/cm2

    , Krassilnikov, et. al. reported that cavitation did not have time to

    develop55

    . Some of the most comprehensive experimental work in this area was

    performed by Esche56

    . He studied cavitation over a range of frequencies and determined

    cavitation threshold pressure amplitude for both aerated and degassed water. Esche‟s

    experimental results for cavitation threshold as a function of frequency are shown in

    figure 2-16. At 40 KHz, a typical ultrasonic cleaning frequency, the threshold according

    to Esche‟s curve is on the order of one atmosphere. At 850 KHz, a typical “megasonic”

    cleaning frequency, his data indicate a threshold in excess of 100 atmospheres. In

  • 59

    contrast, other data53

    indicate a threshold of around 10 atmospheres at this frequency. The

    reason for the discrepancies is that the threshold is extremely sensitive to experimental

    condition. Furthermore there are no standard criteria for determining whether or not

    cavitation exists. Different experimenters employ different criteria and have varying

    limits as to the smallest scale on which cavitation can be detected. In fact sound

    frequency does not affect cavitation threshold so much as it affects the maximum radius

    to which cavities can grow49

    . In some cases bubbles may exist but be undetectably small.

    In addition to gas content and hydrostatic pressure, contaminants in the liquid is an

    important factor53

    . The more contaminant particles exist in the liquid, the more potential

    cavitation nuclei there are, based on the crevice model. This also suggests that, in the

    cleaning of silicon wafers, more cavitation may occur if wafers are hydrophobic than they

    are hydrophilic, since a small percentage of the wafer surface will be wetted in the

    hydrophobic case. If the ultrasound is pulsed, the duration of each pulse also affects

    cavitation threshold57

    .

    Several other sonochemical effects are also frequency dependent. Agglomeration of fine

    particles in suspensions occur at a different frequency for each particle size; typically this

    frequency is between 1 and 50 KHz58

    . Additionally, ultrasonic dispersion of clay in water

    also has an optimum frequency for each particle size, being about 1 MHz for a 1 micron

    particle59

    . The optimum frequency is lower the larger the particle. Emulsion of

    immiscible liquids is also a frequency dependent result of microstreaming60

    . The intensity

    of sonoluminescence, or light emitted in ultrasonic baths, was found to increase with

    frequency in the range form 30 KHz to 1 MHz61

    .

  • 60

    Cavitation erosion of surface is a physical, rather than chemical, phenomenon. Like

    sonochemical effects, however, it is dependent on the condition of sonication. While low

    gas content increases the cavitation threshold pressure, it will also increase cavitation

    damage since those cavities that do form collapse more violently in the absence of

    cushioning gas61

    . Determination of the exact mechanism of damage has been an area of

    considerable study. Plesset62

    speculated that shock waves radiating from collapsing

    bubbles could be the cause, but noted that the collapse would have to occur very close to

    the solid and that the vapor bubble could not contain large quantities of gas. Plesset also

    suggested liquid jets emanating from collapsing bubbles as a potential cause of surface

    Figure 2-16: Cavitation threshold as a function of frequency 56

  • 61

    damage. Indeed extremely high velocities and temperatures are associated with cavity

    implosion. Velocities exceeding 100 m/sec , high enough to cause damage, have been

    theoretically calculated and experimentally measured63,64,65

    . Experiments by Naude and

    Ellis63

    using high speed photography have shown that erosion is caused by high speed

    jets from bubble implosion at a surface, rather than from extreme pressures and

    temperatures resulting from cavity collapse. Furthermore, their calculations indicated that

    a cavity oscillating as it grew would create higher jet speeds than would a jet which grew

    monotonically with time. Later theoretical work by Plesset66

    further supported the

    conclusion that damage was due to liquid jets. Figure 2-17 shows the collapse of a

    cavitation bubble near a solid surface.

    Cavitation erosion differs from chemical effects of ultrasound in that it does not change

    monotonically with temperature61

    . Instead, erosion first increases with increasing

    temperature, after which it decreases. In water, it increases dramatically between 0 °C and

    about 50-55 °C and decreases just as sharply thereafter. In other liquids such as alcohol,

    benzene, acetone, and kerosene, cavitation erosion peaks at lower temperatures and is of

    lower magnitude than in water, but otherwise the same behavior can be observed63

    . A

    possible explanation for this behavior has been presented by Flynn63

    . The solution of the

    different equations for speed of bubble collapse with respect to bubble radius and time

    indicates that the contraction speed increases when there is an increase in heat

    conductivity from the cavity to the surroundings. As ambient temperature increases, the

    thermal conductivity of the gas can be expected to increase, increasing the speed of

    collapse. However, the amount of gas dissolved in a liquid typically decreases with

  • 62

    increasing temperature, decreasing the tendency for cavities to form. These two effects

    compete with one another as temperature is increased, and could explain the observed

    behavior. Flynn points out, however, that vapor pressure should also be considered since

    it increases with increasing ambient temperature. In fact, for virtually any liquid the

    temperature at which maximum erosion occurs corresponds to a vapor pressure between

    35 to 80 mm Hg. This further complicates the picture. In any case, no clear and

    conclusive explanation for the effect of temperature on cavitaion erosion has been

    published. The fact that erosion is greater in water than in other liquids has been

    attributed to the low solubility of gases in it, and its high thermal conductivity. Both of

    these factors would tend to make cavity collapse more violent.

  • 63

    Figure 2-17: Collapse of a spherical bubble near a solid

    surface67

  • 64

    Erosion weight loss of samples has been studied as a function of sound pressure

    amplitude. It has been reported that the amount of damage (expressed as weight loss from

    the surface) increases as the square of the radiated sound pressure amplitude61

    at low

    amplitude values. Noltingk and Neppiras54

    suggested in their theoretical study that as

    acoustic pressure is increased, the violence of cavity collapse will increase to a maximum

    value then decreases. Their reasoning was that the maximum bubble radius will increase

    with pressure amplitude, also increasing the time (τ) required for bubble collapse.

    Eventually, though, this collapse time would exceed one half of the period of the sound

    field (T/2). The collapse would be occurring in the half-period during which the pressure

    is increasing from its most negative value. However, if 2τ/T is greater than unity, the

    sound pressure would become negative again before the collapse would be completed.

    The intensity of collapse would thus be lessened54

    . Experiments have confirmed this

    theory61

    . Plots of erosion weight loss versus 2τm/T, where τm is an estimate of the

    collapse time for the bubble radii in the experiments show maximum weight loss at 2τm/T

    equal to 0.89. Relative intensity of luminescence, when plotted against the same

    parameter, is maximum at 2τm equal to one.

    Busnaina and Kashkoush68

    observed cavitation damage to silicon wafers in water

    subjected to 40 KHz ultrasonic cleaning (figure 2-18). Lifting of metal lines which

    caused by vibrating bubbles and penetrating beneath the lines results in lifting the

    polymer films (figure 2-19). The investigators were able to eliminate such damage by

    using a frequency sweep and maintaining a high gas content in water (to lessen the

    impact of cavitation implosion).

  • 65

    In addition to the effects of operating conditions on cavitations previously mentioned,

    properties of the liquid and gas can also affect the degree and intensity of cavitation in

    ultrasonic baths. Flynn points out that the collapse velocity can be maximized when the

    gas has a low ratio of specific heats, and that a fluid with low viscosity, high vapor

    pressure, and high speed of sound will also maximize cavitation activity61

    . High thermal

    conductivity in the gas and liquid will maximize cavity collapse velocity; while low

    thermal conductivity will tend to increase the maximum pressure and average collapse

    temperature61

    .

    Figure 2-18: SEM photograph of surface damage on silicon wafer caused by ultrasonic cleaning68

  • 66

    Figure 2-19: Line Lifting during ultrasonic cleaning at 40kHz 68

  • 67

    Cavitation can greatly enhance chemical reactions. It has been estimated that

    temperatures of about 5500 °C in the cavity, and 2100

    °C in the liquid immediately

    surrounding the cavity, can be reached during collapse64

    . These extreme temperatures are

    highly localized and last less than a microsecond; the rest of the liquid remains at the

    ambient temperature. The instabilities leading to these phenomena can occur in transient

    or stable cavities61

    . Such temperature can increase chemical reaction rates. A host of

    heterogeneous and homogeneous reactions can be accelerated under ultrasound64,65,66

    . In

    cleaning cavitation bubbles can remove surface contaminants through the action of liquid

    jets from transient cavities, peeling of films when cavities from between the film and the

    substrate, and emulsification of viscous films66

    . In wet chemical cleaning of silicon

    wafers where chemical reactions (such as breaking down SiO2) are involved, there is

    reason to believe that these reactions may be accelerated and cleaning thus enhanced

    (through such effects have not been directly studied). Ultrasound is known to decompose

    water into highly reactive hydrogen atoms (H+) and hydroxyl radicals (OH

    -), which can

    recombine to form hydrogen peroxide (H2O2) and molecular hydrogen (H2). Such an

    environment can degrade organic compounds and oxidize inorganic compounds65

    . The

    implication of these effects for sonic cleaning of semiconductor wafers will be addressed.

  • 68

    Chapter 3

    Nanoparticle Removal from Submicron Trenches

    3.1. Introduction

    The removal of nanoparticles is becoming increasingly challenging as the minimum line

    width continues to decrease in semiconductor manufacturing. Decreasing line widths and

    increasing integration place extreme demands upon contamination control in

    semiconductor manufacturing.

    Particulate contamination is one of the most common defects resulting in low

    manufacturing yield. For example, a particle may block an implant or locally disrupt

    pattern development during a lithography step. During deposition, particulate

    contaminants may lead to pinholes, micro-cracks, or thinning in gate oxides and inter-

    metal dielectric layers. In later steps, when interconnects are formed, particles can cause

    shorts between adjacent conductor lines or gaps (resulting in an open circuit) in a

    conductor line.

  • 69

    Contaminant films on a wafer surface can lead to a number of problems. In general, they

    impair the effectiveness of cleaning; they prevent good adhesion of deposited films to the

    wafer surface; and they may decompose into harmful byproducts. For example, the

    residue of photoresist may remain on wafer surface after lithography. These organic

    contaminants can carbonize when the wafer is heated in non-oxidizing ambients.

    Furthermore, if carbon on a silicon wafer is heated to more than 800 C, it will react with

    the silicon to form silicon carbide regions on the wafer surface 69

    .

    In ULSI fabrication, various cleaning techniques are used to remove particulate and

    chemical impurities so that pristine surfaces can be obtained. Such techniques must be

    able to clean the surface without causing any damage. Wafer cleaning is a complex

    subject for several reasons. First, there are many possible kinds of contaminations, which

    are caused by each of the hundreds of processing steps in ULSI fabrication. Second, the

    cleaning process depend on the substrate being cleaned and so every time the industry

    introduces new materials (such as low k dielectric), the cleaning process has to be

    revisited.

    The cleaning of submicron deep trenches presents a tremendous challenge in

    semiconductor manufacturing. Many contaminants remain on wafers with nanostructures

    such as deep submicron trenches structure. Current cleaning techniques cannot

    successfully clean the deep submicron trench structure. Less aggressive cleaning

    chemicals and more effective physical cleaning techniques need to be developed.

  • 70

    Megasonic cleaning has been widely used in semiconductor fabrication. Megasonic

    cleaning process for patterned wafers is a fluid flow process that involve an oscillating

    flow field in submicron deep cavities. Literature on viscous flow in rectangular cavities is

    abundant. It is reported 70, 71, 72

    that sinusoidally forced flow leads to an excellent mixing

    of the mainstream flow and the cavity fluid by the mechanism of the destruction and

    regenerating of the trapped vortex in the cavity. The enhancement of mass transfer in a

    deep cavity due to external steady channel flow was also investigated 73, 74, 75, 76

    . Chang

    found that, as the aspect ratio increases, the number of vortices in the cavity also

    increases, and that only the primary and the secondary vortices have enough strength to

    enhance mass transfer 73

    .

    As discussed in chapter 2, there are three mechanisms for particle removal: sliding,

    rolling and lifting. Megasonic cleaning creates a tangential drag force on a particle that

    results in particle removal using the rolling mechanism. Three forces are involved in the

    rolling mechanism: drag force, adhesion force and double layer force (electrostatic force).

    Figure 1 illustrates the schematic of forces and moments applied on a particle by the

    rolling removal mechanism. The moment ratio, the ratio of removal moment to the

    adhesion moment, is given by:

    MR = (Fd(1.74R − δ) + Fdl . a)/(Fa . a) (3.1)

  • 71

    where MR is the moment ratio, δ is the deformation height of the particle, a is the contact

    radius between the deformed particle and surface, Fd is the drag force, Fdl is the double

    layer force, and Fa is the adhesion force.

    In theory, when the removal moment overcomes the adhesion moment, the particle is

    removed by the rolling mechanism where the drag moment acting on the particle causes

    the particle to roll over and detach from the surface77

    . Cavitation is considered as both

    cleaning and damage mechanism. However it‟s hard to know if the particle removal is the

    result of cavitation because of the stochastic nature of cavitation. But the drag force is

    well known as a cleaning force.

    In this chapter, the procedure of making nanoscale trenches in silicon (with an aspect

    ratio of one) is introduced. This is followed by describing the approach for depositing

    particle inside the trenches. Using megasonic cleaning, removal of nano size particles

    from nano and micron size trenches was studied.

  • 72

    3.2. Experimental Procedures and Methods

    3.2.1. Fabrication of Nano size Trenches in Silicon

    In order to study the cleaning of particles form structures, nano size trenches in silicon

    were made. The size of the trenches varies from 200nm to 2 micron. All trenches have

    the aspect ratio of one. Trenches are at 9 different locations on the samples and each

    location consisted of 80 to 100 parallel arrays of trenches. These trenches were fabricated

    using optical or electron beam lithography depending on their size. Trenches with widths

    of 2 µm were fabricated using Shipley 1818 photoresists and optically exposed. Trenches

    with submicron widths were created using 3.5% PolyMethAcrylate (PMMA) diluted in

    anisole (3:1) and exposed using e-beam.

    We investigated the conditions of etching to make the walls and bottom of the trenches

    smooth. If the walls or bottom of the trenches have roughness, it will change the particle

    removal efficiency. Roughness in the bottom of the trenches will increase or decrease the

    adhesion between the particles and surface, depending on the size of the particle and the

    roughness tolerances. If the size of the particle is more than the roughness, the adhesion

    decreases so the particles will be removed easily. In the other hand, if the particle gets

    trapped in the valleys of the rough surface, removing the particles is harder.

    To make 2 micron trenches in silicon, photo resist 1818 was spin coated on a 3 inch

    wafer and baked at 115°C. Optical lithography was used to make the patterns. The

  • 73

    samples were developed and etch by using ICP. Oxygen and SF6 were the gases used in

    the etching process. Several etching tests were done to find out the correct ICP condition

    which results in getting smooth and straight walls.

    To fabricate 800, 500 and 200 nm trenches in silicon, we used a silicon chip which has a

    layer of grown oxide with a thickness of 45 nm on top. We followed the ICP procedures

    of the 2 micron trench for smaller trenches and find out that we can get a trench with

    aspect ratio of one. The problem was the PMMA was etched before we etch the right

    amount of silicon even though we changed the amount of gas and power. Therefore a

    layer of oxide was grown on top silicon to make a barrier. After these procedures PMMA

    was spin coated on top of a process chip with a thickness of 150 nm. The PMMA was

    baked at 180°C for 90 seconds. For all the samples we used e-beam lithography to write

    the patterns. The process chip was developed in solution of MIBK/IPA, with a ratio of

    1/3, for 70 seconds at room temperature followed by IPA for 20 seconds. ICP was used

    for etching. First by using CF3, which only etches silicon oxide, the layer of oxide which

    didn‟t have PMMA on them was etched to reach the silicon. Then the same gases,

    oxygen and SF6, were used to etch the silicon and we get t