Lecture Physical Synthesis

Embed Size (px)

Citation preview

  • 8/3/2019 Lecture Physical Synthesis

    1/43

    Physical Design and Synthesis

    Li-Rong Zheng

    Professor of Media Electronics, [email protected]

  • 8/3/2019 Lecture Physical Synthesis

    2/43

    OUTLINE

    Introduction Floorplanning and placement

    Routing

    Elmore Delay

    Clock distribution and power distribution

    Summary

  • 8/3/2019 Lecture Physical Synthesis

    3/43

    Navigation ASIC Design in DSM Technology

  • 8/3/2019 Lecture Physical Synthesis

    4/43

    Some last synthesis steps...

    Partition your design Save design in a suitable net list format

    Insert scan-chains

    Insert pads

    Insert boundary scan and JTAG

    Do place and route

    Yet need some special circuits design analog/RF,

    I/O and communication schemes, clock distribution,power distribution etc

  • 8/3/2019 Lecture Physical Synthesis

    5/43

    Physical Compiler Based Design Flow

    Architechtural Specs, RTL coding & Simulation

    Floorplanning

    Physical Synthesis,Optimization &Scan Insertion

    Formal Verification(RTL Vs Gates)

    Pre-layout STA (basedon Steiner Route)

    Timing OK?

    Detailed Routing

    Tape Out

    Post-layout STA

    Timing OK?

    No

    Formal Verification(Scan Inserted Netlist

    VsCT Inserted Netlist)

    Concept + Market Research

    Yes

    No

    CT Insertion

    Yes

    Source: Advanced ASIC Chip

    Synthesis. 2ndEd. Himanshu

    Bhatnagar. Kluwer Academic

    Publishers

  • 8/3/2019 Lecture Physical Synthesis

    6/43

    Clock Tree Routing

    Scan-FF insertion

    Place & Route Steps

    Place

    Route

    DRC Check

    LVS Check

    Gate Netlist

    RC Extraction

    SDF

    SPEF

    Noise Analysis

    Timing Analysis

    Logic Design

    Back annotate anddesign iteration

  • 8/3/2019 Lecture Physical Synthesis

    7/43

    Floorplanning...

    Macroblock (IP)

    Horizontal channel

    Vertical channelClock spine

    Standard cell area

    Goal: Calculate the sizes of all blocks and assign them locations

    Objectives: keep the highly connected blocks physically close to each other

  • 8/3/2019 Lecture Physical Synthesis

    8/43

    Pad Limitations...

    Pad limited ASIC Core limited ASIC

  • 8/3/2019 Lecture Physical Synthesis

    9/43

    Cope with Pad Limitations

    Pad limited ASIC Core limited ASIC

    VddVss

    I/O PadsVdd & Vss for core

  • 8/3/2019 Lecture Physical Synthesis

    10/43

    I/O Pad with ESD Protection

    Diode

    PAD

    VDD

    RD1

    D2

    X

    C

  • 8/3/2019 Lecture Physical Synthesis

    11/43

    Power and Clock Planning

    Power and GND Use separate metal layers for Power and GND

    Global power/GND in top metal layers

    Clock

    Use separate metal layers for clock routing

    Minimize Clock skew

    C1 C2 C3

    Ci+1

    Ci= e

    Load ratio for fastest switching

    FO3

  • 8/3/2019 Lecture Physical Synthesis

    12/43

    Advanced On-Chip Power Distribution Schemes

    Single Layer Grid Double Layer Mesh(with high speed signal

    distribution)

    Solid Planes(large decap, many vias)

    Distributed at top-most metal layers (thicker, low R metals)

    Power Ground Signal

  • 8/3/2019 Lecture Physical Synthesis

    13/43

    I/Os and Bonding Pads

  • 8/3/2019 Lecture Physical Synthesis

    14/43

    Placement Goals and Objectives

    Guarantee that the routing algorithm cancomplete the routing step

    Minimize the critical net delays

    Make the chip as dense as possible

    Minimize power dissipation

    Minimize crosstalk between signals

  • 8/3/2019 Lecture Physical Synthesis

    15/43

    Routing steps

    Global routing Detailed routing

    Special routing

    Circuit extraction and DRC

  • 8/3/2019 Lecture Physical Synthesis

    16/43

    Global Routing objectives

    Minimize the total interconnect length Maximize the probability that the detailed router

    can complete the routing

    Minimize the critical path delay

    Network of PLAs,

    4 layers OTC

    River PLA,

    2 layers no additional routingStandard cell,

    2 layers channel routing

    Standard cell,

    3 layers OTC

  • 8/3/2019 Lecture Physical Synthesis

    17/43

    Wiring Hierarchy

    Passivation

    Dielectric

    Etch stoplayer

    Dielectricdiffusion

    barrier

    Copperconductorwith metal

    barrier liner

    Pre-metaldielectric

    Tungstencontact

    plug

    Source: SIA Roadmap 1999

    Global

    10 100 1,000 10,000 100,000

    Length (mm)

    NumberofNets(LogScale)

    Pentium Pro

    Pentium II

    Pentium (MMX)

    Pentium

    Pentium II

    Intermediate

    Local

  • 8/3/2019 Lecture Physical Synthesis

    18/43

    Wire delay estimation

    0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 50

    0.5

    1

    1.5

    2

    2.5

    time (nsec)

    v

    oltage

    (V)

    x= L/10

    x = L/4

    x = L/2

    x= L

    Diffused signalpropagation

    Delay ~ L2

    CN-1 CNC2

    R1 R2

    C1

    Tr

    Vin

    RN-1 RN

    The distributed RC-line

  • 8/3/2019 Lecture Physical Synthesis

    19/43

    Elmore delay model

    A B

    C

    1 mm 2 mm

    3 mm

    3 mm

    V1 V2

    V3 V4

    t4=R14C1+R24C2+R34C3+R44C4

  • 8/3/2019 Lecture Physical Synthesis

    20/43

    Elmore delay (ctd.)

    t4=R14C1+R24C2+R34C3+R44C4

    Rpd R1

    C1

    V1

    R2

    C2

    V2

    R3

    C3

    V3R4

    C4

    V4V0

  • 8/3/2019 Lecture Physical Synthesis

    21/43

    Elmore delay (ctd.)

    Use the resistance that the nodes has in common Use the wire segment lengths to calculate the

    capacitances

    t4=R14C1+R24C2+R34C3+R44C4

    R14=Rpd+R1 R24=Rpd+R1 (NB!!!)

    R34=Rpd+R1+R3 R44=Rpd+R1+R3+R4

  • 8/3/2019 Lecture Physical Synthesis

    22/43

    Detailed Routing

    Design rules - wire pitch Via-to-Via

    Via-to-line

    line-to-line

    3l

    4l

    7l 6.5l 6l

  • 8/3/2019 Lecture Physical Synthesis

    23/43

    Detailed routing objectives

    Minimize Total interconnect length and area

    The number of layer changes (# of vias)

    The delay of the critical path

  • 8/3/2019 Lecture Physical Synthesis

    24/43

    Special Routing

    Clock Tree Routing Minimize Skew and reduce Jitter

    Jitter caused by power supply noise

    Power Routing

    Calculate wire widths to carry the current

    Depends on MTTF (Mean Time To Failure)

    MTTF = AJ-2exp(-E/kT) > 10 years

  • 8/3/2019 Lecture Physical Synthesis

    25/43

    Setup- and Hold-times

    tjitter thold

    Data bus

    Clock line

    tjitter tsetup

  • 8/3/2019 Lecture Physical Synthesis

    26/43

    Clock skew factors

    Clock skew is increased by number of buffers in the path

    differences in length from clock source to end nodes

    Clock skew is decreased by wider buffers in the path

    phase compensators (PLLs, DLLs)

  • 8/3/2019 Lecture Physical Synthesis

    27/43

    Retiming Local Clock Skew

    R1 R2 R3

    33 1 ,5

    5 4 7

    What is the critical path of this circuit?

  • 8/3/2019 Lecture Physical Synthesis

    28/43

    Synchronization is the goal

    The purpose of the clock is to provide

    a way to synchronize the latches in the chip with the

    external world

    a way to synchronize the latches in the chip with eachother

  • 8/3/2019 Lecture Physical Synthesis

    29/43

    Classes of Synchronization

    There are five classes of synchronization

    Synchronous

    Mesochronous

    Plesiochronous

    Periodic

    Asynchronous

    (More details in 2B1428: Advanced VLSI Design )

  • 8/3/2019 Lecture Physical Synthesis

    30/43

    General Clock Distribution Tree

    ClockSource

    Root Trunk

    Branches

    Leave

    PLL

    S t i Cl k Di t ib ti

  • 8/3/2019 Lecture Physical Synthesis

    31/43

    Symmetric Clock DistributionNetworks

    a) H-tree b) X-tree

  • 8/3/2019 Lecture Physical Synthesis

    32/43

    Common Buffered Trees

    a) Tapered H-tree b) Mesh

  • 8/3/2019 Lecture Physical Synthesis

    33/43

    DEC Alpha Clock Distribution

    GCLK Grid

    21064

    21264

  • 8/3/2019 Lecture Physical Synthesis

    34/43

    PVT variations

    Process variations Insulator thickness differences

    Voltage variations

    Voltage drops because power lines are resistive andhave to support the peak currents

    Temperature variations

    Local hot-spots have higher temperatures which makesthe chip slower

  • 8/3/2019 Lecture Physical Synthesis

    35/43

    Clock skew and jitter

    Die size (D)

    BC (Fast) Corner

    WC (Slow) Corner

    PVT (Process Voltage Temperature) variations

    PVT Gradient

    tskew=tslow-tfast

  • 8/3/2019 Lecture Physical Synthesis

    36/43

    Switching Activity

    P

    t

    Peak power

    Average power

    By pass capacitance prevents rail

  • 8/3/2019 Lecture Physical Synthesis

    37/43

    CapGATE

    By-pass capacitance prevents railvoltage from jumping

    GATE

    VR

    GND

    I

    a) active gate b) passive gate c) by-pass capacitance

    Power Distribution and Decoupling Strategy

  • 8/3/2019 Lecture Physical Synthesis

    38/43

    MicroprocessorWACC

    Heat Slug

    389 Signal - 198 VDD/VSS Pins

    389 Signal Bondwires395 VDD/VSS Bondwires

    320 VDD/VSS Bondwires

    Example: EV6

    34nF of effective switchingcapacitance

    320nF of de-couplingcapacitance -- not enough!

    (More details in 2B1428: Advanced VLSI Design )

    S

  • 8/3/2019 Lecture Physical Synthesis

    39/43

    Summary

    Floorplanning & placement

    Routing, DRC and LVS check Clock-tree routing and power distribution

    Post-layout verifications

    - If you are lucky, youll probably get a working chip aftersome logic-physical design iterations

    - More advanced design issues (lower power, higherperformance, such as advanced m-processors and

    communication circuits design), continue in course2B1428.

    Follow up Course 1 2B1428 Advanced VLSI Design

  • 8/3/2019 Lecture Physical Synthesis

    40/43

    Follow up Course 1 2B1428 Advanced VLSI Design

    Focus on Advanced System and Circuits Level Design for

    Low power & high-performance on-chip communications

    Current-mode vs voltage mode driving, LVDS, bi-directional signaling,receivers, equalization, x-talk and noise budget etc

    Timing and synchronization techniques

    Different timing circuits, PLL, DLL, synchronizers (synchronous,mesochronous plesiochronous, periodic, asynchronous design) etc

    Power and clock distribution

    Power supply network, decoupling allocation, multiple supply distributionand isolation, clock generators, advanced clock distribution schemesetc

    Examples

  • 8/3/2019 Lecture Physical Synthesis

    41/43

    CLD Q D Q

    transmitter

    D Q D Q LoopFilter

    /2

    receiver

    matcheddelay

    AD BDDin Dout

    AClk

    BClk

    RClk

    PC

    FRDFTD

    FT

    QClk

    Logic

    PhaseComparator

    Bundled Closed-Loop Timing

    Current-mode driving circuits

    Follow up Course 2 2B1450 Electronic System

  • 8/3/2019 Lecture Physical Synthesis

    42/43

    p yPackaging (mixed-signal system design)

    2B1450- with focus on system and product

  • 8/3/2019 Lecture Physical Synthesis

    43/43

    level design of electronic systems

    HiperLANmodule: Intarsial