6
EUV-Lithographie fu ¨r zuku ¨nftige IC-Chips EUV-Lithography for Future IC-Technology Dirk Holtmannspo ¨tter, Gerd Bachmann Vakuum in Forschung und Praxis 15 (2003) Nr. 2 61–66 Ó WILEY-VCH Verlag GmbH, D-69451 Weinheim, 2003 0947-076X/03/0204-/$17.50+.50/0 61 Zusammenfassung Der u ¨berwa ¨ltigende Erfolg der Halbleiter- industrie basierte in der Vergangenheit auf der Realisierung immer kleinerer Struktu- ren auf IC-Chips in immer ku ¨rzeren Zeit- ra ¨umen. Damit konnte die Rechenge- schwindigkeit der Prozessoren und das Speichervolumen der Speicherbauelemen- te stetig gesteigert werden. Diese Reduk- tion der minimalen Strukturgro ¨ße hat die optische Lithographie vor allem durch den U ¨ bergang auf ku ¨rzere Belichtungswellen- la ¨ngen gemeistert. Die zur Zeit in der Ent- wicklungs- und Erprobungsphase befindli- chen Lithographietechnologien, basierend auf 193 nm- oder auch 157 nm-Laserquel- len, werden jedoch Strukturgro ¨ßen um 50 nm nicht mehr erreichen. Ein grundsa ¨tzli- cher Technologiewechsel zeichnet sich somit ab. Die zur Zeit favorisierte Produktions- grundlage fu ¨r Strukturgro ¨ßen von 50 nm und darunter bildet die EUV Lithographie, basierend auf einer optischen Technologie mit 13,4 nm Belichtungswellenla ¨nge. Diese erhebliche Reduzierung der Wellenla ¨nge bedingt aber auch eine radikale A ¨ nderung der bisher benutzten Methodik. Summary In the past the overwhelming success of the semiconductor industry was based on the realisation of ever smaller structures on chips in ever shorter periods. This allowed to increase the computational speed of the processors and the amount of data that can be stored in a memory chip. This reduction of the critical dimension was mastered within optical lithography by transition to smaller wavelengths. Those lithography technologies that are currently in the de- velopment or test phase, based on 193 nm or as well 157 nm laser sources, will not achieve dimensions around 50 nm. A fun- damental change of technology is thus emerging. The currently favored basis for dimen- sions of 50 nm and below is EUV litho- graphy, based on an optical technology with an exposure wavelength of 13,4 nm. This substantial reduction of the wave- length also implies a radical change of the methodology used up to now. 1 Einleitung Die Fortschritte der Halbleiterindustrie im Bereich der Mikroelektronik sind fast schon sprichwo ¨rtlich. Seit u ¨ber 35 Jahren folgt verla ¨ßlich auf jede Generation von Mikro- prozessoren und Speicherchips bald darauf die na ¨chste und leistungsfa ¨higere Genera- tion. Etwa alle 18 Monate verdoppelt sich die Zahl der Transistoren, die auf einem Mikrochip untergebracht werden kann. Mit ihr steigt die Zahl der logischen Operatio- nen, die pro Sekunde ausgefu ¨hrt werden und auch das Volumen der Daten, die auf einem Chip abgespeichert werden ko ¨nnen. Mit bemerkenswerter Genauigkeit be- schreibt dieser als „Moore’sches Gesetz“ bezeichnete Zusammenhang den bisheri- gen Verlauf der fortschreitenden Miniatu- risierung der integrierten elektronischen Schaltkreise [1]. Die Halbleiterindustrie vertreten durch die internationale Semi- conductor Industry Association (SIA) und andere Industrieverba ¨nde hat es sich zum Ziel gemacht, die Technologie auch in den na ¨chsten 10 bis 15 Jahren mit derselben rasanten Geschwindigkeit voranzutreiben [2]. Hierbei sind wichtige Faktoren: * Leistungssteigerungen durch kleinere Strukturen * Produktivita ¨tssteigerungen durch gro ¨- ßere Substrate * Kostenreduktion der Prozesse in den Chipfabriken. Die Leitlinien der angestrebten technolo- gischen Weiterentwicklung sind in der In- ternational Technology Roadmap for Se- miconductors (ITRS) zusammengestellt, die die internationale Vereinigung Sema- tech im Auftrag der SIA erarbeitet hat und fortlaufend aktualisiert [3]. Dadurch be- dingt fu ¨hrt der Wettlauf um die Technolo- giefu ¨hrerschaft dazu, dass die Angaben in der ITRS von den Herstellern nicht so sehr als Prognosen sondern eher als die zu u ¨berbietenden Gro ¨ßen angesehen werden. Treibende Kraft fu ¨r diese Trends ist die Nachfrage nach immer schnelleren PCs, welche immer weniger Leistungsaufnahme beno ¨tigen. Aus finanzieller Sicht sollte aber

EUV-Lithographie für zukünftige IC-Chips. EUV-Lithography for Future IC-Technology

Embed Size (px)

Citation preview

Page 1: EUV-Lithographie für zukünftige IC-Chips. EUV-Lithography for Future IC-Technology

EUV-Lithographie fur zukunftige IC-ChipsEUV-Lithography for Future IC-Technology

Dirk Holtmannspotter, Gerd Bachmann

Vakuum in Forschung und Praxis 15 (2003) Nr. 2 61–66� WILEY-VCH Verlag GmbH, D-69451 Weinheim, 2003

0947-076X/03/0204-/$17.50+.50/0 61

Zusammenfassung

Der uberwaltigende Erfolg der Halbleiter-

industrie basierte in der Vergangenheit auf

der Realisierung immer kleinerer Struktu-

ren auf IC-Chips in immer kurzeren Zeit-

raumen. Damit konnte die Rechenge-

schwindigkeit der Prozessoren und das

Speichervolumen der Speicherbauelemen-

te stetig gesteigert werden. Diese Reduk-

tion der minimalen Strukturgroße hat die

optische Lithographie vor allem durch den

Ubergang auf kurzere Belichtungswellen-

langen gemeistert. Die zur Zeit in der Ent-

wicklungs- und Erprobungsphase befindli-

chen Lithographietechnologien, basierend

auf 193 nm- oder auch 157 nm-Laserquel-

len, werden jedoch Strukturgroßen um 50

nm nicht mehr erreichen. Ein grundsatzli-

cher Technologiewechsel zeichnet sich

somit ab.

Die zur Zeit favorisierte Produktions-

grundlage fur Strukturgroßen von 50 nm

und darunter bildet die EUV Lithographie,

basierend auf einer optischen Technologie

mit 13,4 nm Belichtungswellenlange. Diese

erhebliche Reduzierung der Wellenlange

bedingt aber auch eine radikale Anderung

der bisher benutzten Methodik.

Summary

In the past the overwhelming success of the

semiconductor industry was based on the

realisation of ever smaller structures on

chips in ever shorter periods. This allowed

to increase the computational speed of the

processors and the amount of data that can

be stored in a memory chip. This reduction

of the critical dimension was mastered

within optical lithography by transition to

smaller wavelengths. Those lithography

technologies that are currently in the de-

velopment or test phase, based on 193 nm

or as well 157 nm laser sources, will not

achieve dimensions around 50 nm. A fun-

damental change of technology is thus

emerging.

The currently favored basis for dimen-

sions of 50 nm and below is EUV litho-

graphy, based on an optical technology

with an exposure wavelength of 13,4 nm.

This substantial reduction of the wave-

length also implies a radical change of the

methodology used up to now.

1 Einleitung

Die Fortschritte der Halbleiterindustrie im

Bereich der Mikroelektronik sind fast schon

sprichwortlich. Seit uber 35 Jahren folgt

verlaßlich auf jede Generation von Mikro-

prozessoren und Speicherchips bald darauf

die nachste und leistungsfahigere Genera-

tion. Etwa alle 18 Monate verdoppelt sich

die Zahl der Transistoren, die auf einem

Mikrochip untergebracht werden kann. Mit

ihr steigt die Zahl der logischen Operatio-

nen, die pro Sekunde ausgefuhrt werden

und auch das Volumen der Daten, die auf

einem Chip abgespeichert werden konnen.

Mit bemerkenswerter Genauigkeit be-

schreibt dieser als „Moore’sches Gesetz“

bezeichnete Zusammenhang den bisheri-

gen Verlauf der fortschreitenden Miniatu-

risierung der integrierten elektronischen

Schaltkreise [1]. Die Halbleiterindustrie

vertreten durch die internationale Semi-

conductor Industry Association (SIA) und

andere Industrieverbande hat es sich zum

Ziel gemacht, die Technologie auch in den

nachsten 10 bis 15 Jahren mit derselben

rasanten Geschwindigkeit voranzutreiben

[2]. Hierbei sind wichtige Faktoren:* Leistungssteigerungen durch kleinere

Strukturen* Produktivitatssteigerungen durch gro-

ßere Substrate* Kostenreduktion der Prozesse in den

Chipfabriken.

Die Leitlinien der angestrebten technolo-

gischen Weiterentwicklung sind in der In-

ternational Technology Roadmap for Se-

miconductors (ITRS) zusammengestellt,

die die internationale Vereinigung Sema-

tech im Auftrag der SIA erarbeitet hat und

fortlaufend aktualisiert [3]. Dadurch be-

dingt fuhrt der Wettlauf um die Technolo-

giefuhrerschaft dazu, dass die Angaben in

der ITRS von den Herstellern nicht so sehr

als Prognosen sondern eher als die zu

uberbietenden Großen angesehen werden.

Treibende Kraft fur diese Trends ist die

Nachfrage nach immer schnelleren PCs,

welche immer weniger Leistungsaufnahme

benotigen. Aus finanzieller Sicht sollte aber

Page 2: EUV-Lithographie für zukünftige IC-Chips. EUV-Lithography for Future IC-Technology

62 Vakuum in Forschung und Praxis 15 (2003) Nr. 2

auch zukunftig ein PC nicht mehr als 1000

US$ kosten.

Bei der Einfuhrung von immer kleineren

Strukturgroßen hatte die Weiterentwick-

lung der optischen Lithographie eine zen-

trale Funktion. Die Reduzierung der

Strukturgroßen wird zum einen durch al-

lerlei Kunstgriffe wie off-axis-Belichtung,

Phasenschieben, Proximity-Korrekturen

und Vergroßerung der numerischen Aper-

turen erreicht, aber vor allem durch den

Ubergang zu immer kurzeren Wellenlan-

gen. Die „State of the Art“ Lithographie in

den Chipfabriken arbeitet hauptsachlich

noch mit 248 nm Wellenlange (KrF-Laser),

mit der Strukturgroßen bis minimal 130 nm

hergestellt werden konnen. Die Einfuhrung

der 193 nm-Technologie (ArF-Laser) hat

ebenfalls bereits begonnen. Erste Pilot-

produktionstools wurden schon Ende 1998

an die Chiphersteller ausgeliefert. Mit den

193 nm-Serientools, die im Jahr 2001 ein-

gefuhrt wurden, konnen Strukturgroßen

um 90 nm hergestellt werden. Fur Struk-

turgroßen von 65 nm wird derzeit die 157

nm-Technologie (F2-Laser) erforscht.

Die aktuellste Semiconductor Roadmap,

die International Technologie Roadmap for

Semiconductors schatzt, dass etwa im Jahr

2007 die 65 nm Chipgeneration in Pro-

duktion sein wird und die 45 nm Genera-

tion im Jahr 2010 folgt [3]. Zur Erreichung

von Strukturgroßen von 50 nm und da-

runter mussen neue Technologien außer-

halb des Wirkbereiches der klassischen

optischen Projektionsbelichtung erforscht

werden. Die ITRS raumt hierfur der Be-

lichtung mit Wellenlangen im extremen UV

(13,4 nm) zur Zeit die großten Umset-

zungschancen ein. Obwohl die EUV-Litho-

graphie scheinbar nur einen weiteren

Ubergang zu noch kurzerer Wellenlange

assoziiert, ist mit ihrer Entwicklung jedoch

ein volliger Technologiewechsel verbun-

den. Die großten Herausforderungen liegen

dabei in der Sicherstellung von Massen-

produktions-Maschinen, der Maskenfabri-

kation und Prozesskontrolle, der Metrolo-

gie und Defektinspektion, der Beher-

schung kritischer Strukturgroßen und vor

allem der Moglichkeit kostengunstiger

Herstellung.

2 Von der optischenLithographie zu EUVL

Die bisherige technische Grundlage fur die

genannten Erfolge war die laufende Ver-

besserung der optischen Projektionslitho-

graphie, die zur Herstellung der Chips

eingesetzt wird [4]. Dabei wird der Entwurf

der Schaltkreise in eine Anzahl von Masken

ubertragen. Diese Masken dienen als Vor-

lage, welche mit monochromatischem

Licht durch eine Projektionsoptik in ver-

kleinertem Maßstab auf eine Scheibe aus

hochreinem Silizium, einem sogenannten

Wafer, abgebildet werden – siehe Abb. 1.

Der Wafer ist mit einer lichtempfindlichen

Schicht (Resist) versehen, die die abgebil-

deten und verkleinerten Strukturen der

Maske aufzeichnet. Die belichteten Stellen

des Resists werden im jeweils nachfolgen-

den Arbeitsschritt weggeatzt, wodurch die

darunterliegenden Stellen des Si-Wafer zur

weiteren Bearbeitung freigelegt werden –

etwa zur Dotierung mit Fremdatomen, um

die Leitfahigkeit des Siliziumkristalls ortlich

gezielt einzustellen. Nach mehrfachen

Durchlaufen dieser Bearbeitungsfolge – bis

zu funfzig Belichtungen eines Wafers mit

unterschiedlichen Masken sind gangige

Praxis – wird der Wafer in die einzelnen

Chips zerschnitten.

Der Grad an Miniaturisierung, der mit

der optischen Lithographie erreichbar ist,

hangt von der Wellenlange k des verwen-

deten Lichts und dem effektiven Off-

nungswinkel der Projektionsoptik ab, der

auch als numerische Apertur NA bezeich-

net wird. Die Maske wirkt als ein Gitter, an

dem das Licht wegen seiner Wellennatur

gebeugt wird. Die Ablenkung aufgrund der

Beugung ist um so großer je kleiner die

Strukturbreiten auf der Maske sind und je

großer die Wellenlange des einfallenden

Lichtes ist. Damit die Strukturen der Maske

noch klar abgebildet werden konnen, muß

die Optik einen großen Offnungswinkel

aufweisen und moglichst viel des abge-

beugten Lichtes auffangen. Insgesamt gilt

fur die kleinste abbildbare Lange l ~ k/NA.Bei einer festen Wellenlange k lassen sich

also kleinere Strukturen abbilden, wenn

man die numerische Apertur NA erhoht.

Dies ist aber nur in einem bestimmten Maß

praktikabel, weil mit steigender numeri-

scher Apertur die Scharfentiefe s stark ab-

sinkt und zwar gilt s ~ k/NA2. In der Praxis

ist eine Scharfentiefe von 0,5 lm wun-

schenswert, um die Anforderungen an die

Prazision der Prozeßkontrolle einfach er-

fullen zu konnen und insbesondere um

eine fehlerfreie Belichtung des Resists si-

cherzustellen. Immer kleinere Strukturen

lassen sich also letztlich nur mit immer

kleineren Wellenlangen erzeugen [5].

2.1 Die nachste Generation vonLithographieverfahren

Spatestens im Jahr 2007 wird nach Aussage

der ITRS ein grundlegender Technologie-

wechsel in der Produktionstechnologie fur

Mikrochips erfolgen mussen [3]. Seit eini-

gen Jahren wird daher eine neue Genera-

tion von Lithographieverfahren (Next Ge-

neration Lithography NGL) intensiv er-

forscht hinsichtlich ihres Potentials, die

optische Lithographie abzulosen. Die

Chiphersteller benotigen ihrerseits zwei

bis drei Jahre, um die gesamten Herstel-

lungsverfahren an die jeweils neuesten Li-

thographiegerate, sog. Stepper, anzupassen

und zu optimieren. Daher mussen die er-

sten Prototypen von NGL-Steppern zur

Produktion der 45 nm Generation bereits

Anfang 2005 ausgeliefert werden. Welche

NGL-Technologie sich letztlich durchsetzen

wird, hangt davon ab, dass rechtzeitig alle

technischen Spezifikationen erfullt werden

und die volle Industrietauglichkeit unter

Beweis gestellt wird [6]. Dies beinhaltet

insbesondere, dass die Kosten der Tech-

nologie eine wirtschaftliche Produktion

erlauben und dass die Technologie ausrei-

chend Vertrauen, Unterstutzung und Ak-

zeptanz bei den Chipherstellern gewinnt.

Die Lithographie mit extrem ultraviolet-

tem Licht (EUVL) ist nach Auffassung der

fuhrenden Halbleiterhersteller einer der

aussichtsreichsten Kandidaten als NGL [6,

7]. EUV-Strahlung mit einer Wellenlange

von 13,4 nm wird dabei wie in der opti-

schen Lithographie zu einer verkleiner-

nenden Abbildung einer Maske verwendet.

Fur die Auflosung und die Scharfentiefe

gelten die oben ausgefuhrten Zusammen-

hange. Durch den drastischen Sprung in

der Wellenlange von 157 nm auf 13,4 nm

kann eine ausreichende Auflosung sicher-

gestellt und eine Scharfentiefe in der Gro-

ßenordnung von 1 lm gewahrleistet wer-

den.

Die EUVL ist zu unterscheiden von der

Rontgenlithographie (X-Ray Proximity

Abb. 1: Designbeispiel einer Projektions-optik fur die optische Lithographie(Quelle: Carl Zeiss SMT AG).

Page 3: EUV-Lithographie für zukünftige IC-Chips. EUV-Lithography for Future IC-Technology

Vakuum in Forschung und Praxis 15 (2003) Nr. 2 63

Printing XPL). Bei der XPL werden durch

weiche Rontgenstrahlung von etwa 1 nm

Wellenlange die Strukturen der Maske mit

einer 1-zu-1 Schattenprojektion auf den

Wafer ubertragen [8–10]. Durch die

Schattenprojektion spart dieser Ansatz die

Abbildungsoptik ein. Es ist lediglich eine

Beleuchtungsoptik vonnoten, mit der die

Strahlung eines als Quelle eingesetzten

Synchrotrons monochromatisiert und

gleichmaßig auf die Maske gelenkt wird.

Anderseits sind die Anforderungen an die

Maske in diesem Verfahren besonders

hoch. Da es keine Verkleinerung durch eine

Abbildungsoptik gibt, entsprechen die

kleinsten Strukturen auf der Maske genau

den kleinsten Strukturen des herzustellen-

den Mikrochips. Auch die Positionierung

und die Stabilitat der Maske sind sehr an-

spruchsvoll. Um eine treue Abbildung zu

gewahrleisten muß sich die Maske unter

konstantem Abstand in unmittelbarer Nahe

des Wafer befinden, daher die Bezeichnung

als Proximity Printing. Jede Verzerrung der

Maske durch innere Spannungen, durch die

thermische Belastung bei der Belichtung

oder die Einwirkung der Schwerkraft wird

unmittelbar auf den Wafer ubertragen.

Wegen dieser Schwierigkeiten und der

Notwendigkeit auf ein Synchroton als

Strahlungsquelle zuruckzugreifen, hat die-

ses Verfahren nur wenig Akzeptanz in der

Industrie [6].

3 EUVL im Detail

Von den verschiedenen NGL-Technologien

kann die EUVL [11,12] am ehesten als eine

prinzipielle Fortfuhrung der optischen Li-

thographie, die in der Industrie wohl ver-

traut ist, angesehen werden. Trotz der

großen Ahnlichkeit besteht aber ein we-

sentlicher Unterschied, der sehr weitrei-

chenden Einfluß auf das gesamte Design

des Steppers hat – siehe Abb. 2. Strahlung

im EUV-Bereich wird in allen Materialien

stark absorbiert, dies schließt selbst Gase

mit ein. Deswegen konnen in der Be-

leuchtungs- und Abbildungsoptik keine

Linsen verwendet werden, es mussen

stattdessen spezielle, komplex geformte

Spiegel zum Einsatz kommen. Außerdem

muss sich der gesamte Strahlengang im

Ultrahochvakuum befinden. Die Qualitat

des Vakuums ist entscheidend fur die Le-

bensdauer der Maske und Optikelemente,

die durch Verunreinigungen und Oxidation

schnell unbrauchbar werden konnen. Das

Vakuumsystem muß daher speziell ausge-

legt werden, um kritische Kontaminationen

moglichst gering zu halten. Schließlich

mussen auch die Positionierelemente fur

den Wafer und die Maske vakuumtauglich

sein.

Bevor wir uns den einzelnen Kompo-

nenten der EUVL zuwenden, sei darauf

hingewiesen, dass die EUVL – wie jede

andere NGL – nur als Gesamtlosung in

Frage kommt. Ein unuberwindbares, tech-

nisches Problem in einer Komponente,

stellt die Tauglichkeit des gesamten Ver-

fahrens in Frage.

3.1 Quelle

Aufgrund der starken Absorption von EUV-

Strahlung in Materie ist ein effizienter EUV-

Laser hoher Leistung unter Verwendung

eines optischen Resonators nicht verfug-

bar. Synchrotrons sind zwar als hochbrilli-

ante und leistungsfahige Quellen von EUV-

Strahlung etabliert. Aber die Kosten eines

Synchrotrons und dessen Handhabung

werden von der Industrie in erster Linie als

prohibitiv angesehen. Synchrotrons spielen

daher eher in der Metrologie und Kali-

brierung eine wichtige Rolle. Als mogliche

Quellen von EUV-Licht kommen hochan-

geregte Plasmen in Frage. Daher basieren

alle fur den Einsatz in der EUVL diskutierten

Quellenkonzepte bisher auf einer Plas-

maentladung [13]. Die verschiedenen An-

satze unterscheiden sich nur darin, wie das

Plasma angeregt wird. Eine Klasse von

Quellen nutzt einen starken, gepulsten

Pumplaser, um kurzzeitig eine Plasmaent-

ladung in einem Target – etwa aus Was-

sertropfchen oder Xenon – zu zunden. Die

Hauptschwierigkeit bei solchen laserpro-

duzierten Plasmen liegt in der Entwicklung

ausreichend leistungsstarker Pumplaser zu

vertretbaren Kosten.

Gasentladungslampen stellen eine ko-

stengunstigere Alternative dar, wobei

elektrische Energie uber geschickt ge-

formte Elektroden direkt in das Plasma

eingekoppelt wird. Je nach der spezifi-

schen Geometrie unterscheidet man Z-

Pinch und HCT-Pinch (nach dem engl.

Hollow Cathode Triggered fur Hohlkatho-

den-getriggert). Bei den Gasentladungs-

lampen ist die Skalierbarkeit auf eine pro-

duktionstaugliche Leistung von 80–120 W

imZwischenfokus der Beleuchtungseinheit

noch fraglich. Insgesamt wird die Verfug-

barkeit einer kostengunstigen EUV-Quelle

mit ausreichender Lebensdauer und Lei-

stung als das gravierendste Problem fur die

Realisierung eines produktionstauglichen

EUVL-Steppers angesehen [14].

3.2 Optik

Die einzelnen Elemente der EUV-Optik sind

aus Viellagenschichtsystemen aufgebaut,

welche nach dem Bragg-Prinzip funktio-

nieren – siehe Abb. 3. Wenn Licht – also

auch EUV-Licht – auf eine Grenzschicht

trifft, z.B. zwischen Vakuum und einem

Festkorper oder auf eine Schichtgrenze

innerhalb eines Festkorpers, wird ein Teil

des Lichtes an der Grenzschicht reflektiert,

wahrend der Rest des Lichts durch die

Grenzschicht hindurch tritt. Im EUV-Be-

reich werden beim Ubergang vom Vakuum

zu beispielsweise Molybdan ca. 3% reflek-

tiert, die verbleibenden 97% dringen in das

Molybdan ein und wurden dort nach einer

Weglange von etwa einem halben Milli-

meter vollstandig absorbiert. Trifft dieser

Rest dort allerdings nach nur wenigen

Nanometern erneut auf eine Grenzschicht,

Abb. 2: Prinzip-schaubild der EUVLSystemanordnung(Quelle: Carl ZeissSMT AG).

Page 4: EUV-Lithographie für zukünftige IC-Chips. EUV-Lithography for Future IC-Technology

64 Vakuum in Forschung und Praxis 15 (2003) Nr. 2

z.B. aus Silizium, wurde an dieser zweiten

Grenzschicht wiederum ein Bruchteil re-

flektiert. Bei geschickter Wahl der

Schichtdicke – je nach Wellenlange und

Material – kommt es fur bestimmte Ein-

fallswinkel zu einer konstruktiven Uberla-

gerung des Lichtes, das von den beiden

Grenzschichten reflektiert wurde. Durch

Hinzufugen vieler weiterer Schichten ad-

diert sich der Effekt, bis aufgrund der Ab-

sorption keine weitere Steigerung mehr

erzielt wird [15]. Besonders geeignet sind

Molybdan-Silizium-Schichtstapel aus 40 bis

50 Schichten, wodurch sich eine Reflekti-

vitat von uber 70% bei einer Wellenlange

von 13,4 nm erreichen laßt [16]. Die

Moglichkeit aus dieser Materialkombinati-

on effektive EUV-Spiegel aufzubauen, hat

letztlich dazu gefuhrt die Wellenlange, die

in der EUVL verwendetwerden soll, auf den

Wert 13,4 nm festzulegen.

Die Herstellung solcher Spiegel stellt

hochste Anforderungen. Die einzelnen

Schichten der Schichtstapel sind nur we-

nige Nanometer dick und mussen von ex-

tremer Regelmaßigkeit sein. Außerdem ist

es schwieriger aus Spiegeln eine abbilden-

de Verkleinerungsoptik als aus Linsen auf-

zubauen, weil eine Linse eine großere Zahl

von Freiheitsgraden aufweist. Daher mus-

sen kompliziert herzustellende, asparisch

geformte Spiegel eingesetzt werden. Uber

deren gesamte Große von einigen Zenti-

metern durfen diese nur weniger als 0,25

nm von der gedachten Form abweichen

(LSFR: Low-Spatial-Frequency Roughness).

Schließlich mussen die Oberflachen der

Spiegel extrem glatt sein. Deren Rauhigkeit

uber Langen von 1mm bis 1lm, die soge-

nannte mid-spatial-frequency roughness

oder MSFR, muß unter 0,2 nm liegen – die

Rauhigkeit uber Langen unter 1mm, die

sogenannte high-spatial-frequency rough-

ness oder HSFR, sogar unter 0,1 nm. Dies

alles sind Genauigkeitswerte, die unterhalb

eines Atomradius liegen! Werden diese

Vorgaben nicht erreicht, wurde der Bild-

kontrast (abhangig von der MSFR) leiden

oder die Bildhelligkeit insgesamt (HSFR).

Trotz dieser extremen Vorgaben wird die

Erreichbarkeit der geforderten Spezifikati-

on (Passe) derzeit als sehr wahrscheinlich

eingestuft [17]. Die Prazision in der Her-

stellung ist dabei nur im Zusammenspiel

mit einer entsprechend genauen Meß-

technik zu realisieren, die parallel zu den

Herstellungsverfahren mitzuentwickeln ist.

Dies betrifft die Vermessung der einzelnen

Spiegel aber auch die Montage und Aus-

richtung der Spiegel im Lithographietool.

Eine Reflektivitat von 70% pro Spiegel

bedeutet, dass nach einer Folge von funf

bzw. sieben Reflexionen nur noch 16%

bzw. 8% der ursprunglichen Intensitat auf

dem Wafer eintrifft. Daraus erklaren sich

die hohen Anforderungen an die mittlere

Leistung der EUV-Quelle von 80 bis 120 W

[14]. 30% der eingestrahlten Leistung wird

folglich von dem jeweiligen Spiegel absor-

biert. In Anbetracht der zuvor beschriebe-

nen Anforderungen an die Genauigkeit der

optischen Elemente muß das Substratma-

terial daher eine extrem hohe thermische

Stabilitat und eine gute Strahlungsbestan-

digkeit aufweisen. Als Materialien mit ei-

nem nahezu verschwindendem, thermi-

schen Ausdehnungskoeffizienten kommt

ein als ULE (Ultra Low Expansion) be-

zeichnetes Quarzglas der Firma Corning

oder die Glaskeramik Zerodur von Schott in

Frage. Da die Anforderungen an die Pas-

segenauigkeit und die Mikrorauhigkeit

praktisch in gleicher Weise fur das Sub-

stratmaterial wie fur die fertige Optik gel-

ten – d.h. das mit einem Vielschichtstapel

beschichtete Substrat – muß das Substrat

eine hohe Homogenitat besitzen und gut

bearbeitbar sein.

Ein kompliziert zu formendes Spiegel-

element stellt der sogenannte Integrator

dar, welcher zur Strahlformung im Primar-

bereich (vor dem Auftreffen auf die Maske)

fur die Ausleuchtung der Maskenstrukturen

dient. Hierfur werden facettenartig struk-

turierte Spiegel eingesetzt. Eine geeignete

Strukturierungstechnik fur diese Spiegel-

formen befindet sich noch im For-

schungsstadium.

3.3 Maske

Die EUVL-Masken sind wie die optischen

Elemente EUV-Reflektoren. Die Masken-

strukturen werden durch einen struktu-

rierten EUV-Absorber als oberste Lage auf

dem Multilagenstapel des Reflektors reali-

siert. Abb. 4 zeigt eine EUV-Maske nach

verschiedenen Bearbeitungsschritten. Auf-

grund der 4:1 Verkleinerung sollte die er-

forderliche Genauigkeit bei der Struktu-

rierung der Maske mit den Standardwerk-

zeugen zur Maskenherstellung erreichbar

sein. Die Anforderungen an die thermische

Stabilitat aber gelten fur die Maske in be-

sonderer Weise, da sie am Anfang des

Strahlengangs steht und somit der inten-

sivsten Strahlung innerhalb der Abbil-

dungsoptik ausgesetzt ist. Als eines der

gravierendsten Probleme wird die Defekt-

freiheit der Multilagenbeschichtung des

unstrukturierten Maskenuntergrundes –

das Maskenblank – angesehen.Weil sich die

Maske als das abzubildende Objekt im Fo-

kus des Strahlengangs befindet, wird jeder

Defekt des Schichtstapels genau auf den

Wafer abgebildet und fuhrt so zu Fehl-

funktionen des herzustellenden Schalt-

kreises. Vergleichbare Fehler im Stapelauf-

bau der nachfolgenden Optikelemente

wirken sich dagegen nicht so gravierend

aus, sondern bedeuten allenfalls einen ge-

wissen Verlust an Intensitat, der in be-

stimmen Grenzen tolerabel ist. Wahrend

zur Beschichtung der Spiegel Magnetron-

sputtern zufriedenstellende Ergebnisse

liefert, ist die Dichte an Defekten fur die

Maske mit diesem Verfahren noch we-

sentlich zu hoch. Zur Abscheidung des

Schichtstapels des Maskenblanks muß da-

Abb. 3: EUV-Multilagenspiegel (Quelle:Fraunhofer-Institut fur Werkstoff- undStrahltechnik IWS). Abb. 4: Bearbeitungsschritte eines Mas-

kenblanks: gelapptes Material, poliertesSubstrat, mit Multilagen und Absorberbeschichtetes Blank, Maskenblank mitPhotoresist (Quelle: SCHOTT lithotec AG).

Page 5: EUV-Lithographie für zukünftige IC-Chips. EUV-Lithography for Future IC-Technology

Vakuum in Forschung und Praxis 15 (2003) Nr. 2 65

her ein Ionenstrahlverfahren verwendet

werden. Die Herausforderung besteht nun

sowohl in der Entwicklung einer zuverlas-

sigen Methode zur Detektion von EUV-ab-

bildbaren Defekten der Maske als auch

darin, nachgewiesene Defekte auch tief im

Schichtstapel sicher reparieren zu konnen.

Insgesamt wird eine kostengunstige Her-

stellung von EUV-Masken neben der EUV-

Quelle als die kritischste Komponente der

EUVL angesehen.

3.4 Substrat und Resist

Die abschließende Komponente der EUVL

schließlich ist das EUV-Resist, mit dem der

Wafer zur Belichtung beschichtet wird. Es

konnte nachgewiesen werden, dass auf der

Grundlage der derzeit ublichen DUV-Re-

sists ausreichend feine Strukturen belichtet

werden konnen. Wegen der starken Ab-

sorption von EUV-Strahlung – die Absorp-

tionstiefe in Standardresists liegt unter 100

nm – konnen dickere Resistschichten al-

lerdings nicht in ihrer Tiefe belichtet wer-

den. Eine gewisse Starke des Resist ist aber

vonnoten, um einen ausreichenden Wi-

derstand des unbelichteten Resists beim

Atzen zu gewahrleisten [17]. Aus diesem

Grunde werden derzeit noch verbesserte

Resistmaterialien gesucht und Verfahren

mit einem strukturierten Resist aus zwei bis

drei Schichten mit unterschiedlichen

Funktionen erprobt.

3.5 Charakterisierung bei derHerstellung eines EUVL-Wafersteppers

Die Charakterisierung einer EUV-Quelle

stellt schwierige Anforderungen. Neben

der spektralen Intensitatsverteilung ist

insbesondere die raumliche Abstrahlcha-

rakteristik je nach Quellenkonzept stark

unterschiedlich. Die Schwierigkeit liegt

weniger in der Genauigkeit der Messme-

thoden als vielmehr in ihrer mangelnden

Vergleichbarkeit. Dies hat etwa den Step-

perhersteller ASML veranlasst, zu einer

Vergleichsmessung von Quellen unter-

schiedlicher Quellenhersteller einen eige-

nen Messstand aufzubauen und diesen von

Hersteller zu Hersteller zu transportieren –

sog. „flying circus“.

Die Reflektivitat der Maskenblanks

kann mit einem Reflektometer bestimmt

werden. Wahrend ein Reflektometer fur

optische Elemente Objekte von bis zu ei-

nem halben Meter Große aufnehmen kon-

nen muss, reichen fur die Charakterisie-

rung der Maskenblanks Messflachen von

15 bis 20 cm Durchmesser aus. Wie schon

erwahnt, ist die Defektfreiheit der Maske

ein besonders kritischer Punkt. Der Aufbau

des Schichtstapels der Maske darf keine

abbildbaren Defekte enthalten. Dies stellt

hohe Anforderungen an den Produktions-

prozess und macht eine Qualitatsprufung

der Maskenblanks beim Hersteller im Be-

reich der Belichtungswellenlange von

13,4 nm erforderlich,man spricht dann von

einer „at-wavelength“-Charakterisierung.

Insgesamt wird der Bedarf an Reflektome-

tern zur Maskencharakterisierung großer

sein als zur Charakterisierung der opti-

schen Elemente aufgrund der großeren

Zahl verschiedener Masken und ihrer

geringeren Lebensdauer.

Zur Charakterisierung der struktu-

rierten Maske und zur Qualitatsprufung

der Defektreparatur wurden bislang Mi-

kroskopieverfahren eingesetzt. Die Wel-

lenlange des dazu eingesetzten Lichtes

wurde bislang zusammen mit der in der

Lithographie verwendeten Lichtwellenlan-

ge ebenfalls kurzer. Ob eine strukturierte

EUV-Maske schließlich auch „at-wave-

length“ gepruft werden kann, ist bislang

noch offen.

4 Internationale EUVLForschungsprogramme

Auf dem Gebiet der NGL-Technologie gibt

es weltweit umfangreiche Entwicklungs-

programme. Einige wichtige mit Schwer-

punkt EUV sind nachfolgend aufgezeigt.

USA

In den USA konzentriert sich die Entwick-

lung auf die EUV-Lithographie und das

Konkurrenzverfahren der Elektronenstrahl-

Projektionslithographie.

Die fruhe Phase der amerikanischen

EUV-Entwicklung wurde staatlich gefor-

dert.

Seit 1997 werden die amerikanischen

EUV-Aktivitaten von der EUV-LLC, einem

von den Chipherstellern Intel, Motorola

und AMD gegrundeten Konsortium, koor-

diniert und finanziert. Mit der Forschung

und Entwicklung auf dem Gebiet EUV-

Lithographie wurde das Virtual National

Laboratory (VNL) beauftragt. Die Mitglie-

der des VNL sind: Sandia National Lab,

Lawrence Livermore National Lab und

Lawrence Berkeley National Lab. In Albany

im Bundesstaat New York wird derzeit ein

EUVL-Entwicklungszentrum in Zusam-

menarbeit mit der International Sematech

eingerichtet.

Japan

In Japan wird eine erste Phase der EUV-

Technologieentwicklung mit 50 Mio $

staatlich gefordert. Ziel ist hier ebenfalls

eine Einfuhrung der EUV-Lithographie in

die Serienproduktion im Jahr 2007.

Die japanischen EUV-Aktivitaten sind im

ASET-Programm gebundelt. Eine zentrale

Rolle bei den Entwicklungen spielt Nikon.

Auch Canon hat sich seit 2001 verstarkt auf

dem Gebiet der EUV-Lithographie enga-

giert.

Das japanische Programm lauft bereits

seit mehreren Jahren und hat auf einigen

Teilgebieten der EUV-Lithographie (bei-

spielsweise in der Optik/Toolintegration)

bereits sehr gute Ergebnisse erzielt.

Die veroffentlichten Plane zeigen, dass

das japanische Programm in den nachsten

Jahren die gleiche Zielrichtung und einen

ahnlichen zeitlichen Ablauf wie das deut-

sche/europaische Vorhaben haben wird

[18].

Europa

Im Rahmen eines MEDEA+-Projektes wer-

den verschiedene nationale Aktivitaten zu

EUVL europaisch koordiniert. Hauptakteu-

re bei der Erforschung eines produktions-

tauglichen Belichtungsgerates sind der

niederlandische Stepperhersteller ASML

und die Carl Zeiss SMT AG. ASML ist das

einzige europaische Unternehmen, das ein

konkurrenzfahiges Vollfeldbelichtungstool

entwickeln kann [19]. Von einer fruhen

Realisierung eines europaischen Tools und

dem damit verbundenen schnellen Zugang

zu dieser neuen Technologie werden auch

die europaischen Chiphersteller profitie-

ren.

Frankreich

In Frankreich gab es bis vor kurzem ein auf

zwei Jahre ausgelegtes, nationales Ent-

wicklungsprogramm (PREUVE), das mit 50

Mio FF gefordert wurde [20].

Dieses Programm befaßte sich wie das

deutsche Programm mit allen fur die EUV-

Lithographie wesentlichen Komponenten

(mit Ausnahme des Steppers). Eine Zu-

sammenarbeit des PREUVE-Projekts mit

Page 6: EUV-Lithographie für zukünftige IC-Chips. EUV-Lithography for Future IC-Technology

66 Vakuum in Forschung und Praxis 15 (2003) Nr. 2

weiteren europaischen Partnern ist im

Rahmen des MEDEA+ Projektes geplant.

Deutschland

In Deutschland wird der Aufbau einer

leistungsfahigen EUVL im Rahmen von

BMBF Verbundprojekten seit Anfang 2001

massiv unterstutzt. Uber einen Zeitraum

von 5 Jahren wird das BMBF diese Aktivi-

taten mit uber 50 Mio. 3 forden. Die zu-

satzlichen Aufwendungen der Industrie

ubersteigen mit mehr als 120 Mio. 3 diese

staatliche Forderung nochmals ummehr als

das Doppelte. Von industrieller Seite sind

dabei fur den Aufbau der EUVL-Quelle die

Firmen XTREME technologies GMBH,

Philips Extreme UVGmbh und die Jenoptik

Mikrotechnik GmbH, fur die Bereitstellung

der Substrate und Optiken die Firmen

Schott Glas und Carl Zeiss SMT AG, fur die

Maskenblankherstellung die Firmen Schott

Lithotec AG, Roth & Rau Oberflachen-

technik AG und die AIS Automation Dres-

den GmbH, und fur die Maskenbereitstel-

lung und Gesamtprozessentwicklung die

Firmen Infineon Technologies AG, Leica

Microsystems Semiconductor GmbH und

die Clariant GmbH in enger Abstimmung

mit ASML aus den Niederlanden tatig.

5 Literatur

[1] G. E. Moore, Electronics 38 (1965) S. 114.[2] D. Normile, Science 293 (2001) S. 787.[3] http://public.itrs.net[4] J.R. Sheats, B.W. Smith (Hrsg.), Microlitho-

graphy: Science and Technology, MarcelDekker, New York, 1998.

[5] T. Ito, S. Okazaki, Nature 406 (2000) S. 1027.[6] Proc. CD des 5. NGL Workshops der Int.

Sematech, 28.–30. Aug. 2001, Pasadena,USA.

[7] R.F. Service, Science 293 (2001) S. 785.[8] E. Spears, H.I. Smith, Electr. Lett. 8 (1972)

S. 102.[9] E. Spears, H.I. Smith, Solid State Techno. 15

(1972) S. 21.[10] F. Cerrina, X-Ray Lithography, in Handbook of

Micromachining and Microfabrication, Vol-ume 1: Microlithography, SPIE, Bellingham,USA, 1997, S. 251–319.

[11] H. Kinoshita et al., J. Vac. Sci. Technol. B7(1989) S. 1648.

[12] J.E. Bjorkholm et al., J. Vac. Sci. Technol. B8(1990) S. 1509.

[13] U. Stamm, H. Schwoerer, R. Lebert, Phys.Journal 1 (2002) Nr. 12, S. 33.

[14] V. Banine et al., Proc. CD des 1. Int. Symp. onExtreme Ultraviolet Lithography, 14. – 17.Okt. 2002, Dallas, USA.

[15] R. Dietsch et al., Vakuum in Forschung undPraxis 13 (2001) Nr. 4, S. 222.

[16] S. Braun et al., Microelectronic EngineeringBd. 57–58 (2001), S. 9.

[17] J.E. Bjorkholm, Intel Technology Journal,Q3’98.

[18] A. Endo et al., Proc. CD des 1. Int. Symp. onExtreme Ultraviolet Lithography, 14.–17.Okt. 2002, Dallas, USA.

[19] K. Ahrens, Innovation 11, Carl Zeiss (2002),S. 24–25.

[20] P. Boher et al., Proc. CD des 3. Int. Workshopon Extreme Ultraviolet Lithography, 29.–31.Okt. 2001, Matsue, Shimane, Japan.

Autoren

Dirk Holtmannspotter und Dr. Gerd Bachmann

sind Mitarbeiter des VDI-Technologiezentrums in

der Abteilung Zukunftige Technologien Consul-

ting. Sie sind im Auftrag des BMBF mit der

Fruherkennung neuer Technologiefelder be-

schaftigt.