41
CONTADORES MARLEY MENDOZA ANDRES SANJUAN

Contadores (electronica)

Embed Size (px)

Citation preview

Page 1: Contadores (electronica)

CONTADORES

MARLEY MENDOZAANDRES SANJUAN

Page 2: Contadores (electronica)

INTRODUCCIÓN

El presente trabajo investigativo va dirigido al estudio del comportamiento de los circuitos contadores. El análisis de este tipo de circuitos secuenciales consiste básicamente en determinar la forma de contar, lo cual se obtiene por medio de un diagrama de tiempo.

En forma de circuito integrado existen muchos tipos de contadores que en general siguen una secuencia binaria normal.

Muchas veces se desea tener contadores que sigan secuencias de conteo que no sea la binaria, en ese caso ya no se dispondrá de circuitos integrados que contengan el contador, sino que debemos diseñarlo. Al ser el contador un circuito secuencial para diseñarlo se utilizarán las técnicas de diseño de circuitos secuenciales. No es objeto de esta investigación un análisis profundo de los procedimientos de síntesis de circuitos secuenciales en general. Solo se analizará el procedimiento para el caso de los contadores, lo que implica una gran simplificación del procedimiento.

Page 3: Contadores (electronica)

DIAGRAMA DE BLOQUE DE UN CIRCUITO SECUENCIAL

En un modelo general de circuito secuencial el efecto en las salidas de todas las entradas previas se representa por el estado del circuito. Esto también determina su próximo estado. La relación existente entre entradas, salidas, estados presentes y estados futuros puede especificarse por medio de tablas de estado y diagramas de estado.

Page 4: Contadores (electronica)

CIRCUITOS SECUENCIALES CONTADORES 

Una red combinacional es aquella que "combina" compuertas Y, O, Negadas y del 3º Estado. Una secuencial es ésta pero realimentada. En las salidas preferiremos llamar a los estados anteriores con letra minúscula (q) para diferenciarlos de los presentes que se hará con mayúscula (Q), y los de la entrada con mayúscula porque siendo presentes, tampoco cambiaron durante la transición (x = X).

Page 5: Contadores (electronica)

FLIP-FLOP

Los Flip-Flop son las unidades básicas de todos los sistemas secuenciales, existen cuatro tipos: el RS, el JK, el T y el D.

Todos pueden ser de dos tipos, a saber: Flip-Flop activado por nivel (FF-AN) o bien Flip-Flop maestro-esclavo (FF-ME). El primero recibe su nombre por actuar meramente con los "niveles" de amplitud 0-1, en cambio el segundo son dos FF-AN combinados de tal manera que uno "hace caso" al otro.

Page 6: Contadores (electronica)

FLIP-FLOP ACTIVADOS POR ALTO NIVELFLIP-FLOP RS

Tiene tres entradas, S (de inicio), R (reinicio o borrado) y C (para reloj). Tiene una salida Q, y a veces también una salida complementada, la que se indica con un círculo en la otra terminal de salida. Hay un pequeño triángulo en frente de la letra C, para designar una entrada dinámica. El símbolo indicador dinámico denota el hecho de que el flip-flop responde a una transición positiva (de 0 a 1) de la señal de reloj.

Page 7: Contadores (electronica)

La operación del flip-flop es como sigue. Si no hay una señal en la entrada del reloj C, la salida del circuito no puede cambiar independientemente de cuáles sean los valores de entrada de S y R. Sólo cuando la señal de reloj cambia de 0 a 1 puede la salida afectarse de acuerdo con los valores de la entrada S y R. Si S = 1 y R = 0 cuando C cambia de 0 a 1, la salida Q se inicia en 1. Si S = 0 y R = 1 cuando C cambia de 0 a 1 la salida Q se reinicia o borra en 0. Si tanto S como R son 0 durante la transición de reloj, la salida no cambia. Cuando tanto S como R son iguales a 1, la salida es impredecible y puede ser 0 o 1 dependiendo de los retrasos internos de tiempo que ocurran dentro del circuito.

Page 8: Contadores (electronica)

FLIP- FLOP JK

Un flip-flop JK es un refinamiento del flip-flop SR en el sentido que la condición indeterminada del tipo SR se define en el tipo JK. Las entradas J y K se comportan como las entradas S y R para iniciar y reinicia el flip-flop, respectivamente. Cuando las entradas J y K son ambas iguales a 1, una transición de reloj alterna las salidas del flip-flop a su estado complementario.

Su unidad básica se dibuja a continuación que, como actúa por "niveles" de amplitud (0-1) recibe el nombre de Flip-Flop JK activado por nivel (FF-JK-AN). Cuando no se especifica este detalle es del tipo Flip-Flop JK maestro-esclavo (FF-JK-ME). Su ecuación y tabla de funcionamiento son

Q = J q* + K* q

Page 9: Contadores (electronica)

FLIP- FLOP JK

Page 10: Contadores (electronica)

Se da detalle de su confección lógica a partir del FF-RS-AN.

Page 11: Contadores (electronica)

Simplificamos por ejemplo usando mapas de Karnaugh

R = K qS = J q*

Resulta el circuito

Page 12: Contadores (electronica)

FLIP- FLOP D

El flip-flop D (datos) es una ligera modificación del flip-flop SR. Un flip-flop SR se convierte a un flip-flop D insertando un inversor entre S y R y asignando el símbolo D a la entrada única. La entrada D se muestra durante la ocurrencia de una transición de reloj de 0 a 1. Si D = 1, la salida del flip-flop va al estado 1, pero si D = 0, la salida del flip-flop va a el estado 0.

Su unidad básica se dibuja a continuación que, como actúa por "niveles" de amplitud (0-1) recibe el nombre de Flip-Flop D activado por nivel (FF-D-AN). Cuando no se especifica este detalle es del tipo Flip-Flop D maestro-esclavo (FF-D-ME) comúnmente denominado también Cerrojo —Latch.

Page 13: Contadores (electronica)

FLIP-FLOP D

A partir del FF-RS-AN puede diseñarse este FF-D-AN siguiendo los pasos mostrados anteriormente, pero no tiene sentido ya que al ser activado por nivel no tiene utilidad.

Page 14: Contadores (electronica)

FLIP – FLOP MAESTRO - ESCLAVO

Todos los cuatro FF-AN pueden implementarse siguiendo las órdenes de un FF-D-AN a su entrada como muestra el dibujo esquemático. El FF-D hace de puerta (Cerrojo). Cada pulso en el clock hará que la señal entre al sistema (como salida del FF-D-AN) y salga la misma a la salida final respetando la tabla de verdad del FF esclavo. Así, si el esclavo es un FF-X-AN, todo el conjunto se comporta como un FF-X-ME —aquí X puede ser un FF o bien también un sistema secuencial complejo.

Page 15: Contadores (electronica)

CONTADORES DE PULSOS

Son sistemas de FF en cascada y relacionados con redes combinacionales de tal manera que cuentan, bajo un código binario cualquiera ya predeterminado (binario puro, BCD, Jhonson, etc., u otro inventado por uno que necesite) los pulsos que ingresan al clock del sistema. Así, si todos los relojes se conectan en paralelo o no, los contadores se denominan, respectivamente.

sincrónicos asincrónicos

La cantidad M de pulsos a contar (incluyendo el correspondiente reposo) está relacionada con el número n de FF a utilizar mediante la fórmula

2n-1 < 2nM

Page 16: Contadores (electronica)

EJEMPLO DE DISEÑO

Se pretende contar los pulsos de un código, por ejemplo binario natural hasta el número 5; o sea que a partir del pulso 6 se reiniciará el conteo (auto borrado). En efecto, podemos elegir la mínima cantidad de FF a usar (y que por tanto se usarán).

M = 6 2n-1 < n = 3 2n M Adoptamos seguidamente el tipo de FF que dispongamos, por ejemplo

el RS.

Page 17: Contadores (electronica)

Simplificamos los resultados, por ejemplo por Veich-Karnaugh R0 = q1*q2 S0 = q1q2 R1 = q1q2 S1 = q0*q1*q2 R2 = q2 S2 = q2* Finalmente con ella armamos el circuito 

Page 18: Contadores (electronica)

DISEÑO DE CONTADORES

Diagrama de estados

Describe gráficamente el circuito secuencial, el contador en este caso, indicando cual es el estado siguiente en función del estado actual y de las entradas, que para el caso de contadores no existen.

En este diagrama, un estado se representa por un círculo, y la transición se indica con líneas o arcos que conectan los círculos. Dentro de cada círculo se escribe un número binario que representa el estado.La figura  muestra el diagrama de estados de un contador de 3 bits.

Page 19: Contadores (electronica)

TABLA DE TRANCISIONES

La tabla de estados consiste de tres columnas denominadas estado presente, estado futuro y entradas de los flip flops.

El estado presente denota el estado de los flip flops antes de la ocurrencia de un pulso de reloj.

El estado futuro muestra el estado de los flip flops después del pulso de reloj.

Las entradas de los flip flops muestran que valores deben recibir las entradas de los flip flops para pasar del estado presente al estado futuro después del pulso de reloj.

Page 20: Contadores (electronica)

Es importante recordar las tablas de excitación de los flip flop ya que la función de entrada se obtiene a partir de estas. De hecho el procedimiento consiste en determinar que entrada necesitan los flip flops para pasar del estado presente al estado futuro.

Las tablas de excitación son las siguientes.

Qn Qn+1 J K

0 0 0 X

0 1 1 X

1 0 X 1

1 1 X 0

Qn Qn+1 T0 0 0

0 1 1

1 0 1

1 1 0

Qn Qn+1 D

0 0 0

0 1 1

1 0 0

1 1 1

Flip Flop tipo DFlip Flop tipo TFlip Flop tipo JK

Page 21: Contadores (electronica)

PROCEDIMIENTO DE DISEÑO

1. Realizar el diagrama de estado. Del número de estados diferentes se obtiene el número de flip flops.

2. Realizar la tabla de transiciones. De la tabla se obtienen las funciones de entradas de los flip flops. Se  selecciona el tipo de flip flop para el diseño (JK, T, D)

3. Minimización de las funciones de entradas utilizando mapas de Karnaugh.

4. Dibujar el diagrama circuital

Page 22: Contadores (electronica)

Ejemplo de diseño: contador binario ascendente de 3 bits

Para entender el procedimiento de diseño, sigamos los pasos para diseñar un contador asíncrono binario ascendente de 3 bits. La cuenta será 000, 001, 010, 011, 100, 101, 110, 111., y así de nuevo. (En decimal corresponde a la cuenta 0, 1, 2, 3, 4, 5, 6,7 y de nuevo a 0).

Paso 1: Diagrama de estado Describimos el contador mediante un diagrama de estado, que nos

muestra la manera como avanza la secuencia cada vez que se aplica un pulso de reloj. El numero de estados por lo que pasa el contador es de 7 por lo que se necesitarán 3 FF para diseñarlo.

Page 23: Contadores (electronica)

Paso 2: Tabla de Transiciones El próximo paso es el de desarrollar la tabla de transiciones a  partir del diagrama de

estado. En ella listaremos para cada uno de los estados presentes de la secuencia, cual debe ser el valor de entrada de los flip flops para que al aplicarse un pulso de reloj se pase al siguiente estado de la secuencia (estado futuro). Para ello nos ayudamos con la tabla de excitación de los flip flop. Podemos diseñar el contador utilizando FF tipo JK, T o D.

En este ejemplo utilizaremos FF JK.Si notan la primera fila estamos en el estado 000 y el próximo estado de la cuenta debe ser el 001. En las entradas de los flip flops deberemos poner los valores necesarios para pasar del estado presente al estado futuro cuando baje el pulso de reloj.

TRANSICIONES DE ESTADO ENTRADAS DE LOS FLIP FLOPSEstado Presente Estado Futuro FF2 FF1 FF0

Q2 Q1 Q0 Q2 Q1 Q0 J2 K2 J1 K1 J0 K0

0 0 0 0 0 1 0 X 0 X 1 X0 0 1 0 1 0 0 X 1 X X 10 1 0 0 1 1 0 X X 0 1 X0 1 1 1 0 0 1 X X 1 X 11 0 0 1 0 1 X 0 0 X 1 X1 0 1 1 1 0 X 0 1 X X 11 1 0 1 1 1 X 0 X 0 1 X1 1 1 0 0 0 X 1 X 1 X 1

Page 24: Contadores (electronica)

Paso 3: Minimización de funciones de entrada de los flip flops De la tabla de transiciones para cada una de las entradas de los FF obtenemos los

Mapas de Karnaugh en función del estado presente, y simplificando obtenemos las funciones de las entradas de cada flip flop. Note que se colocó en cada casilla el valor decimal. Este corresponde al valor decimal de la cuenta del estado presente.

J2 = Q1·Q0 J1 = Q0 J0 = 1

K2 = Q1·Q0 K1 = Q0 K0 = 1

Page 25: Contadores (electronica)

Paso 4: Diagrama circuital El paso final  es obtener el diagrama circuital. Para ello utilizamos las

funciones de entrada de los flip flops para dibujar la lógica cambinacional correspondiente a las entradas de cada flip flop y formar así el contador.

Las funciones de entrada obtenidas de los Mapas de Karnaugh son:J2 = K2  =Q1·Q0

J1 = K1 = Q0

J0 = K0 = 1  Note que el circuito obtenido corresponde al del contador de 3 bits.

Page 26: Contadores (electronica)
Page 27: Contadores (electronica)

CIRCUITOS CONTADORES IMPLEMENTADOS EN UN SIMULADOR

El Simulador de Construcción de Circuitos Digitales con Escenarios Virtuales y Tutoriales Interactivos es un programa para construir circuitos digitales sobre un módulo digital virtual a partir de modelos lógicos de circuitos integrados estándares (familia TTL LS) y de aplicación específica (ASIC). Los circuitos pueden ser simulados en el módulo digital directamente y en algunos casos pueden ser validados con Escenarios Virtuales que representan al ambiente donde los circuitos operarán. Además, los circuitos hechos pueden ser almacenados, recuperados y editados

Page 28: Contadores (electronica)

EL MODULO DIGITAL

El Módulo Digital El módulo digital (figura 1) consta de los siguientes elementos: Una tarjeta para alambrar circuitos (protoboard, breadboard) Indicadores luminosos: 18 leds sencillos y 3 visualizadores de siete segmentos Relojes de 1H y 10 Hz Entradas digitales: 12 interruptores y 4 pulsadores Alimentación: líneas de VCC y GND Expansor de 18 líneas para conexión con un escenario Un interruptor principal para el encendido y apagado del sistema

Page 29: Contadores (electronica)

EJEMPLO DE CIRCUITOS

La figura 2 muestra un circuito de prueba basado en un contador para probar todas las combinaciones de puertas lógicas simples.

Page 30: Contadores (electronica)

La figura 3 muestra un circuito decodificador binario con un decodificador de siete segmentos

Page 31: Contadores (electronica)

La figura 4 muestra un circuito de contador BCD con habilitación de cuenta ascendente/descendente de 0 a 999.

Page 32: Contadores (electronica)

DISEÑO DE UN CODIFICADOR BCD A 7 SEGMENTOS

Este codificador se utiliza para desplegar en un display de 7 segmentos la información que está en código BCD, por ejemplo la información en la pantalla de una calculadora.

Solución: a

b

ce

d

ga

f

Page 33: Contadores (electronica)

4 entradas 7 salidas

s a l i d a sA B C D a b c d e f g

0 0 0 0 1 1 1 1 1 1 00 0 0 1 0 1 1 0 0 0 00 0 1 0 1 1 0 1 1 0 10 0 1 1 1 1 1 1 0 0 10 1 0 0 0 1 1 0 0 1 10 1 0 1 1 0 1 1 0 1 10 1 1 0 1 0 1 1 1 1 10 1 1 1 1 1 1 0 0 1 01 0 0 0 1 1 1 1 1 1 11 0 0 1 1 1 1 1 0 1 11 0 1 0 0 0 0 0 0 0 01 0 1 1 0 0 0 0 0 0 01 1 0 0 0 0 0 0 0 0 01 1 0 1 0 0 0 0 0 0 01 1 1 0 0 0 0 0 0 0 01 1 1 1 0 0 0 0 0 0 0

Page 34: Contadores (electronica)

PARA A:

DBABDACBACAa

1 1 1

1 1 1

1 1

4B

CD0001

01

111

110

00

101

1

11

1

10

1

Grupo 4

Grupo 1

Grupo 3

Grupo 2

Page 35: Contadores (electronica)

PARA B

1 1 1

1

1

1 1

AB

CD0001

011 111 10

001

011

111

101

Grupo 2

Grupo 4

Grupo 1

Grupo 3

CDADCABACBb

Page 36: Contadores (electronica)

PARA C

1 1

11 1

1

1 1

AB

CD0001

011 111 10

001

011

111

101

Grupo 2

Grupo 3

Grupo 4

Grupo 1

1

CBBADACAc

Page 37: Contadores (electronica)

PARA D

1 1

1

1

1 1

AB

CD0001

01

111

110

00

1

01

1

11

1

10

1

Grupo 1

Grupo 4

Grupo 5

Grupo 2

1

Grupo 3

DCBADCADBACBACBAd

Page 38: Contadores (electronica)

PARA E

1 1

1

AB

CD0001

011 111 10

001

011

111

101

Grupo 1

Grupo 2

1

Grupo 3

DCBDCAe

Page 39: Contadores (electronica)

PARA F

1

1 1 1

1 1

AB

CD0001

01

1111 10

00

101

1

111

10

1

Grupo 1

Grupo 2

1

Grupo 3

DCACBABAf

Page 40: Contadores (electronica)

PARA G

1

1

1

1

1 1

AB

CD0001

01

111

110

00

101

1

11

1

10

1

Grupo 1

Grupo 4

Grupo 2

1

Grupo 3

DCACBACBACBAg

Page 41: Contadores (electronica)

GRACIAS