76
Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created by David Patterson as part of the Instructor Resources for the textbook by Hennessy & Patterson

Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

Embed Size (px)

Citation preview

Page 1: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

Chapter 3 – Dynamic Scheduling

CSCI/ EENG – 641 - W01Computer Architecture 1

Prof. Babak Beheshti

Slides based on the PowerPoint Presentations created by David Patterson as part of the Instructor Resources for the textbook by Hennessy & Patterson

Page 2: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

2

Outline

• Dynamic Scheduling– Tomasulo Algorithm

• Speculation– Speculative Tomasulo Example

• Memory Aliases• Exceptions• Increasing instruction bandwidth• Register Renaming vs. Reorder Buffer• Value Prediction

Page 3: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

3

A Dynamic Algorithm: Tomasulo’s• For IBM 360/91 (before caches!)

– Long memory latency

• Goal: High Performance without special compilers• Small number of floating point registers (4 in 360)

prevented interesting compiler scheduling of operations– This led Tomasulo to try to figure out how to get more effective registers — renaming in

hardware!

• Why Study 1966 Computer? • The descendants of this have flourished!

– Alpha 21264, Pentium 4, AMD Opteron, Power 5, …

Page 4: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

4

Tomasulo Algorithm

• Control & buffers distributed with Function Units (FU)– FU buffers called “reservation stations”; have pending operands

• Registers in instructions replaced by values or pointers to reservation stations(RS); called register renaming ; – Renaming avoids WAR, WAW hazards– More reservation stations than registers, so can do optimizations compilers can’t

• Results to FU from RS, not through registers, over Common Data Bus that broadcasts results to all FUs– Avoids RAW hazards by executing an instruction only when

its operands are available• Load and Stores treated as FUs with RSs as well• Integer instructions can go past branches (predict

taken), allowing FP ops beyond basic block in FP queue

Page 5: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

5

Tomasulo Organization

FP addersFP adders

Add1Add2Add3

FP multipliersFP multipliers

Mult1Mult2

From Mem FP Registers

Reservation Stations

Common Data Bus (CDB)

To Mem

FP OpQueue

Load Buffers

Store Buffers

Load1Load2Load3Load4Load5Load6

Page 6: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

6

Reservation Station ComponentsOp: Operation to perform in the unit (e.g., + or –)Vj, Vk: Value of Source operands– Store buffers has V field, result to be stored

Qj, Qk: Reservation stations producing source registers (value to be written)– Note: Qj,Qk=0 => ready

– Store buffers only have Qi for RS producing result

Busy: Indicates reservation station or FU is busy

Register result status—Indicates which functional unit will write each register, if one exists. Blank when no pending instructions that will write that register.

Page 7: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

7

Three Stages of Tomasulo Algorithm

1. Issue—get instruction from FP Op Queue If reservation station free (no structural hazard),

control issues instr & sends operands (renames registers).2. Execute—operate on operands (EX)

When both operands ready then execute; if not ready, watch Common Data Bus for result

3. Write result—finish execution (WB) Write on Common Data Bus to all awaiting units;

mark reservation station available• Normal data bus: data + destination (“go to” bus)• Common data bus: data + source (“come from” bus)

– 64 bits of data + 4 bits of Functional Unit source address– Write if matches expected Functional Unit (produces result)– Does the broadcast

• Example speed: 3 clocks for Fl .pt. +,-; 10 for * ; 40 clks for /

Page 8: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

8

Tomasulo ExampleInstruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 Load1 NoLD F2 45+ R3 Load2 NoMULTD F0 F2 F4 Load3 NoSUBD F8 F6 F2DIVD F10 F0 F6ADDD F6 F8 F2

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

Add1 NoAdd2 NoAdd3 NoMult1 NoMult2 No

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F300 FU

Clock cycle counter

FU countdown

Instruction stream

3 Load/Buffers

3 FP Adder R.S.2 FP Mult R.S.

Page 9: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

9

Tomasulo Example Cycle 1Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 Load1 Yes 34+R2LD F2 45+ R3 Load2 NoMULTD F0 F2 F4 Load3 NoSUBD F8 F6 F2DIVD F10 F0 F6ADDD F6 F8 F2

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

Add1 NoAdd2 NoAdd3 NoMult1 NoMult2 No

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F301 FU Load1

Page 10: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

10

Tomasulo Example Cycle 2Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 Load1 Yes 34+R2LD F2 45+ R3 2 Load2 Yes 45+R3MULTD F0 F2 F4 Load3 NoSUBD F8 F6 F2DIVD F10 F0 F6ADDD F6 F8 F2

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

Add1 NoAdd2 NoAdd3 NoMult1 NoMult2 No

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F302 FU Load2 Load1

Note: Can have multiple loads outstanding

Page 11: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

11

Tomasulo Example Cycle 3Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 3 Load1 Yes 34+R2LD F2 45+ R3 2 Load2 Yes 45+R3MULTD F0 F2 F4 3 Load3 NoSUBD F8 F6 F2DIVD F10 F0 F6ADDD F6 F8 F2

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

Add1 NoAdd2 NoAdd3 NoMult1 Yes MULTD R(F4) Load2Mult2 No

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F303 FU Mult1 Load2 Load1

• Note: registers names are removed (“renamed”) in Reservation Stations; MULT issued

• Load1 completing; what is waiting for Load1?

Page 12: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

12

Tomasulo Example Cycle 4Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 3 4 Load1 NoLD F2 45+ R3 2 4 Load2 Yes 45+R3MULTD F0 F2 F4 3 Load3 NoSUBD F8 F6 F2 4DIVD F10 F0 F6ADDD F6 F8 F2

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

Add1 Yes SUBD M(A1) Load2Add2 NoAdd3 NoMult1 Yes MULTD R(F4) Load2Mult2 No

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F304 FU Mult1 Load2 M(A1) Add1

• Load2 completing; what is waiting for Load2?

Page 13: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

13

Tomasulo Example Cycle 5Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 3 4 Load1 NoLD F2 45+ R3 2 4 5 Load2 NoMULTD F0 F2 F4 3 Load3 NoSUBD F8 F6 F2 4DIVD F10 F0 F6 5ADDD F6 F8 F2

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

2 Add1 Yes SUBD M(A1) M(A2)Add2 NoAdd3 No

10 Mult1 Yes MULTD M(A2) R(F4)Mult2 Yes DIVD M(A1) Mult1

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F305 FU Mult1 M(A2) M(A1) Add1 Mult2

• Timer starts down for Add1, Mult1

Page 14: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

14

Tomasulo Example Cycle 6Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 3 4 Load1 NoLD F2 45+ R3 2 4 5 Load2 NoMULTD F0 F2 F4 3 Load3 NoSUBD F8 F6 F2 4DIVD F10 F0 F6 5ADDD F6 F8 F2 6

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

1 Add1 Yes SUBD M(A1) M(A2)Add2 Yes ADDD M(A2) Add1Add3 No

9 Mult1 Yes MULTD M(A2) R(F4)Mult2 Yes DIVD M(A1) Mult1

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F306 FU Mult1 M(A2) Add2 Add1 Mult2

• Issue ADDD here despite name dependency on F6?

Page 15: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

15

Tomasulo Example Cycle 7Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 3 4 Load1 NoLD F2 45+ R3 2 4 5 Load2 NoMULTD F0 F2 F4 3 Load3 NoSUBD F8 F6 F2 4 7DIVD F10 F0 F6 5ADDD F6 F8 F2 6

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

0 Add1 Yes SUBD M(A1) M(A2)Add2 Yes ADDD M(A2) Add1Add3 No

8 Mult1 Yes MULTD M(A2) R(F4)Mult2 Yes DIVD M(A1) Mult1

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F307 FU Mult1 M(A2) Add2 Add1 Mult2

• Add1 (SUBD) completing; what is waiting for it?

Page 16: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

16

Tomasulo Example Cycle 8Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 3 4 Load1 NoLD F2 45+ R3 2 4 5 Load2 NoMULTD F0 F2 F4 3 Load3 NoSUBD F8 F6 F2 4 7 8DIVD F10 F0 F6 5ADDD F6 F8 F2 6

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

Add1 No2 Add2 Yes ADDD (M-M) M(A2)

Add3 No7 Mult1 Yes MULTD M(A2) R(F4)

Mult2 Yes DIVD M(A1) Mult1

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F308 FU Mult1 M(A2) Add2 (M-M) Mult2

Page 17: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

17

Tomasulo Example Cycle 9Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 3 4 Load1 NoLD F2 45+ R3 2 4 5 Load2 NoMULTD F0 F2 F4 3 Load3 NoSUBD F8 F6 F2 4 7 8DIVD F10 F0 F6 5ADDD F6 F8 F2 6

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

Add1 No1 Add2 Yes ADDD (M-M) M(A2)

Add3 No6 Mult1 Yes MULTD M(A2) R(F4)

Mult2 Yes DIVD M(A1) Mult1

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F309 FU Mult1 M(A2) Add2 (M-M) Mult2

Page 18: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

18

Tomasulo Example Cycle 10Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 3 4 Load1 NoLD F2 45+ R3 2 4 5 Load2 NoMULTD F0 F2 F4 3 Load3 NoSUBD F8 F6 F2 4 7 8DIVD F10 F0 F6 5ADDD F6 F8 F2 6 10

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

Add1 No0 Add2 Yes ADDD (M-M) M(A2)

Add3 No5 Mult1 Yes MULTD M(A2) R(F4)

Mult2 Yes DIVD M(A1) Mult1

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F3010 FU Mult1 M(A2) Add2 (M-M) Mult2

• Add2 (ADDD) completing; what is waiting for it?

Page 19: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

19

Tomasulo Example Cycle 11Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 3 4 Load1 NoLD F2 45+ R3 2 4 5 Load2 NoMULTD F0 F2 F4 3 Load3 NoSUBD F8 F6 F2 4 7 8DIVD F10 F0 F6 5ADDD F6 F8 F2 6 10 11

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

Add1 NoAdd2 NoAdd3 No

4 Mult1 Yes MULTD M(A2) R(F4)Mult2 Yes DIVD M(A1) Mult1

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F3011 FU Mult1 M(A2) (M-M+M)(M-M) Mult2

• Write result of ADDD here?• All quick instructions complete in this cycle!

Page 20: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

20

Tomasulo Example Cycle 12Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 3 4 Load1 NoLD F2 45+ R3 2 4 5 Load2 NoMULTD F0 F2 F4 3 Load3 NoSUBD F8 F6 F2 4 7 8DIVD F10 F0 F6 5ADDD F6 F8 F2 6 10 11

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

Add1 NoAdd2 NoAdd3 No

3 Mult1 Yes MULTD M(A2) R(F4)Mult2 Yes DIVD M(A1) Mult1

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F3012 FU Mult1 M(A2) (M-M+M)(M-M) Mult2

Page 21: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

21

Tomasulo Example Cycle 13Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 3 4 Load1 NoLD F2 45+ R3 2 4 5 Load2 NoMULTD F0 F2 F4 3 Load3 NoSUBD F8 F6 F2 4 7 8DIVD F10 F0 F6 5ADDD F6 F8 F2 6 10 11

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

Add1 NoAdd2 NoAdd3 No

2 Mult1 Yes MULTD M(A2) R(F4)Mult2 Yes DIVD M(A1) Mult1

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F3013 FU Mult1 M(A2) (M-M+M)(M-M) Mult2

Page 22: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

22

Tomasulo Example Cycle 14Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 3 4 Load1 NoLD F2 45+ R3 2 4 5 Load2 NoMULTD F0 F2 F4 3 Load3 NoSUBD F8 F6 F2 4 7 8DIVD F10 F0 F6 5ADDD F6 F8 F2 6 10 11

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

Add1 NoAdd2 NoAdd3 No

1 Mult1 Yes MULTD M(A2) R(F4)Mult2 Yes DIVD M(A1) Mult1

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F3014 FU Mult1 M(A2) (M-M+M)(M-M) Mult2

Page 23: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

23

Tomasulo Example Cycle 15Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 3 4 Load1 NoLD F2 45+ R3 2 4 5 Load2 NoMULTD F0 F2 F4 3 15 Load3 NoSUBD F8 F6 F2 4 7 8DIVD F10 F0 F6 5ADDD F6 F8 F2 6 10 11

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

Add1 NoAdd2 NoAdd3 No

0 Mult1 Yes MULTD M(A2) R(F4)Mult2 Yes DIVD M(A1) Mult1

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F3015 FU Mult1 M(A2) (M-M+M)(M-M) Mult2

• Mult1 (MULTD) completing; what is waiting for it?

Page 24: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

24

Tomasulo Example Cycle 16Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 3 4 Load1 NoLD F2 45+ R3 2 4 5 Load2 NoMULTD F0 F2 F4 3 15 16 Load3 NoSUBD F8 F6 F2 4 7 8DIVD F10 F0 F6 5ADDD F6 F8 F2 6 10 11

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

Add1 NoAdd2 NoAdd3 NoMult1 No

40 Mult2 Yes DIVD M*F4 M(A1)

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F3016 FU M*F4 M(A2) (M-M+M)(M-M) Mult2

• Just waiting for Mult2 (DIVD) to complete

Page 25: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

25

Faster than light computation(skip a couple of cycles)

Page 26: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

26

Tomasulo Example Cycle 55Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 3 4 Load1 NoLD F2 45+ R3 2 4 5 Load2 NoMULTD F0 F2 F4 3 15 16 Load3 NoSUBD F8 F6 F2 4 7 8DIVD F10 F0 F6 5ADDD F6 F8 F2 6 10 11

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

Add1 NoAdd2 NoAdd3 NoMult1 No

1 Mult2 Yes DIVD M*F4 M(A1)

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F3055 FU M*F4 M(A2) (M-M+M)(M-M) Mult2

Page 27: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

27

Tomasulo Example Cycle 56Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 3 4 Load1 NoLD F2 45+ R3 2 4 5 Load2 NoMULTD F0 F2 F4 3 15 16 Load3 NoSUBD F8 F6 F2 4 7 8DIVD F10 F0 F6 5 56ADDD F6 F8 F2 6 10 11

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

Add1 NoAdd2 NoAdd3 NoMult1 No

0 Mult2 Yes DIVD M*F4 M(A1)

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F3056 FU M*F4 M(A2) (M-M+M)(M-M) Mult2

• Mult2 (DIVD) is completing; what is waiting for it?

Page 28: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

28

Tomasulo Example Cycle 57Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 3 4 Load1 NoLD F2 45+ R3 2 4 5 Load2 NoMULTD F0 F2 F4 3 15 16 Load3 NoSUBD F8 F6 F2 4 7 8DIVD F10 F0 F6 5 56 57ADDD F6 F8 F2 6 10 11

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

Add1 NoAdd2 NoAdd3 NoMult1 NoMult2 Yes DIVD M*F4 M(A1)

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F3056 FU M*F4 M(A2) (M-M+M)(M-M) Result

• Once again: In-order issue, out-of-order execution and out-of-order completion.

Page 29: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

29

Why can Tomasulo overlap iterations of loops?

• Reservation stations: renaming to larger set of registers + buffering source operands– Prevents registers as bottleneck– Avoids WAR hazards (by buffering old values of registers) and

avoids WAW hazards – Allows loop unrolling in HW – “dynamic loop unrolling” (Register

Renaming: Multiple iterations use different physical destinations for registers)

– Permits instruction issue to advance past integer control flow operations

• Not limited to basic blocks (integer units gets ahead, beyond branches)

• Other perspective: Tomasulo building data flow dependency graph on the fly

Page 30: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

30

Tomasulo’s scheme offers 2 major advantages

1. Distribution of the hazard detection logic– distributed reservation stations and the CDB– If multiple instructions waiting on single result, & each

instruction has other operand, then instructions can be released simultaneously by broadcast on CDB

– If a centralized register file were used, the units would have to read their results from the registers when register buses are available

2. Elimination of stalls for WAW and WAR hazards

Page 31: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

31

Tomasulo Drawbacks• Complexity

– delays of 360/91, MIPS 10000, Alpha 21264, IBM PPC 620 in CA:AQA 2/e, but not in silicon!

• Many associative stores (CDB) at high speed• Performance limited by Common Data Bus

– Each CDB must go to multiple functional units high capacitance, high wiring density

– Number of functional units that can complete per cycle limited to one!• Multiple CDBs more FU logic for parallel assoc stores

• Non-precise interrupts!– We will address this later

Page 32: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

32

Outline

• Dynamic Scheduling– Tomasulo Algorithm

• Speculation– Speculative Tomasulo Example

• Memory Aliases• Exceptions• Increasing instruction bandwidth• Register Renaming vs. Reorder Buffer• Value Prediction

Page 33: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

33

Speculation to greater ILP• Greater ILP: Overcome control dependence

by hardware speculating on outcome of branches and executing program as if guesses were correct– Speculation fetch, issue, and execute instructions as if branch

predictions were always correct – Dynamic scheduling only fetches and issues instructions

• Essentially a data flow execution model: Operations execute as soon as their operands are available

Page 34: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

34

Speculation to greater ILP• 3 components of HW-based speculation:1. Dynamic branch prediction to choose which

instructions to execute 2. Speculation to allow execution of

instructions before control dependences are resolved + ability to undo effects of incorrectly speculated

sequence

3. Dynamic scheduling to deal with scheduling of different combinations of basic blocks

Page 35: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

35

Adding Speculation to Tomasulo• Must separate execution from allowing

instruction to finish or “commit”• This additional step called instruction commit• When an instruction is no longer speculative,

allow it to update the register file or memory • Requires additional set of buffers to hold results

of instructions that have finished execution but have not committed

• This reorder buffer (ROB) is also used to pass results among instructions that may be speculated

Page 36: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

36

Reorder Buffer (ROB)

• In Tomasulo’s algorithm, once an instruction writes its result, any subsequently issued instructions will find result in the register file

• With speculation, the register file is not updated until the instruction commits – (we know definitively that the instruction should execute)

• Thus, the ROB supplies operands in interval between completion of instruction execution and instruction commit– ROB is a source of operands for instructions, just as reservation

stations (RS) provide operands in Tomasulo’s algorithm– ROB extends architectured registers like RS

Page 37: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

37

Reorder Buffer Entry• Each entry in the ROB contains four fields: 1. Instruction type

• a branch (has no destination result), a store (has a memory address destination), or a register operation (ALU operation or load, which has register destinations)

2. Destination• Register number (for loads and ALU operations) or

memory address (for stores) where the instruction result should be written

3. Value• Value of instruction result until the instruction commits

4. Ready• Indicates that instruction has completed execution, and the value is ready

Page 38: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

38

Reorder Buffer operation

• Holds instructions in FIFO order, exactly as issued• When instructions complete, results placed into ROB

– Supplies operands to other instruction between execution complete & commit more registers like RS

– Tag results with ROB buffer number instead of reservation station• Instructions commit values at head of ROB placed in registers• As a result, easy to undo

speculated instructions on mispredicted branches or on exceptions

ReorderBufferFP

OpQueue

FP Adder FP AdderRes Stations Res Stations

FP Regs

Commit path

Page 39: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

39

Recall:4 Steps of Speculative Tomasulo Algorithm

1. Issue—get instruction from FP Op Queue If reservation station and reorder buffer slot free, issue instr & send

operands & reorder buffer no. for destination (this stage sometimes called “dispatch”)

2. Execution—operate on operands (EX) When both operands ready then execute; if not ready, watch CDB

for result; when both in reservation station, execute; checks RAW (sometimes called “issue”)

3. Write result—finish execution (WB) Write on Common Data Bus to all awaiting FUs

& reorder buffer; mark reservation station available.4. Commit—update register with reorder result

When instr. at head of reorder buffer & result present, update register with result (or store to memory) and remove instr from reorder buffer. Mispredicted branch flushes reorder buffer (sometimes called “graduation”)

Page 40: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

40

Tomasulo With Reorder buffer:

ToMemory

FP addersFP adders FP multipliersFP multipliers

Reservation Stations

FP OpQueue

ROB7

ROB6

ROB5

ROB4

ROB3

ROB2

ROB1F0F0 LD F0,10(R2)LD F0,10(R2) NN

Done?

DestDest

Oldest

Newest

from Memory

1 10+R21 10+R2Dest

Reorder Buffer

Registers

Page 41: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

41

2 ADDD R(F4),ROB12 ADDD R(F4),ROB1

Tomasulo With Reorder buffer:

ToMemory

FP addersFP adders FP multipliersFP multipliers

Reservation Stations

FP OpQueue

ROB7

ROB6

ROB5

ROB4

ROB3

ROB2

ROB1

F10F10

F0F0ADDD F10,F4,F0ADDD F10,F4,F0

LD F0,10(R2)LD F0,10(R2)NN

NN

Done?

DestDest

Oldest

Newest

from Memory

1 10+R21 10+R2Dest

Reorder Buffer

Registers

Page 42: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

42

3 DIVD ROB2,R(F6)3 DIVD ROB2,R(F6)2 ADDD R(F4),ROB12 ADDD R(F4),ROB1

Tomasulo With Reorder buffer:

ToMemory

FP addersFP adders FP multipliersFP multipliers

Reservation Stations

FP OpQueue

ROB7

ROB6

ROB5

ROB4

ROB3

ROB2

ROB1

F2F2

F10F10

F0F0

DIVD F2,F10,F6DIVD F2,F10,F6

ADDD F10,F4,F0ADDD F10,F4,F0

LD F0,10(R2)LD F0,10(R2)

NN

NN

NN

Done?

DestDest

Oldest

Newest

from Memory

1 10+R21 10+R2Dest

Reorder Buffer

Registers

Page 43: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

43

3 DIVD ROB2,R(F6)3 DIVD ROB2,R(F6)2 ADDD R(F4),ROB12 ADDD R(F4),ROB16 ADDD ROB5, R(F6)6 ADDD ROB5, R(F6)

Tomasulo With Reorder buffer:

ToMemory

FP addersFP adders FP multipliersFP multipliers

Reservation Stations

FP OpQueue

ROB7

ROB6

ROB5

ROB4

ROB3

ROB2

ROB1

F0F0 ADDD F0,F4,F6ADDD F0,F4,F6 NN

F4F4 LD F4,0(R3)LD F4,0(R3) NN

---- BNE F2,<…>BNE F2,<…> NN

F2F2

F10F10

F0F0

DIVD F2,F10,F6DIVD F2,F10,F6

ADDD F10,F4,F0ADDD F10,F4,F0

LD F0,10(R2)LD F0,10(R2)

NN

NN

NN

Done?

DestDest

Oldest

Newest

from Memory

1 10+R21 10+R2Dest

Reorder Buffer

Registers

5 0+R35 0+R3

Page 44: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

44

3 DIVD ROB2,R(F6)3 DIVD ROB2,R(F6)2 ADDD R(F4),ROB12 ADDD R(F4),ROB16 ADDD ROB5, R(F6)6 ADDD ROB5, R(F6)

Tomasulo With Reorder buffer:

ToMemory

FP addersFP adders FP multipliersFP multipliers

Reservation Stations

FP OpQueue

ROB7

ROB6

ROB5

ROB4

ROB3

ROB2

ROB1

----

F0F0ROB5ROB5

ST 0(R3),F4ST 0(R3),F4

ADDD F0,F4,F6ADDD F0,F4,F6NN

NN

F4F4 LD F4,0(R3)LD F4,0(R3) NN

---- BNE F2,<…>BNE F2,<…> NN

F2F2

F10F10

F0F0

DIVD F2,F10,F6DIVD F2,F10,F6

ADDD F10,F4,F0ADDD F10,F4,F0

LD F0,10(R2)LD F0,10(R2)

NN

NN

NN

Done?

DestDest

Oldest

Newest

from Memory

Dest

Reorder Buffer

Registers

1 10+R21 10+R25 0+R35 0+R3

Page 45: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

45

3 DIVD ROB2,R(F6)3 DIVD ROB2,R(F6)

Tomasulo With Reorder buffer:

ToMemory

FP addersFP adders FP multipliersFP multipliers

Reservation Stations

FP OpQueue

ROB7

ROB6

ROB5

ROB4

ROB3

ROB2

ROB1

----

F0F0M[10]M[10]

ST 0(R3),F4ST 0(R3),F4

ADDD F0,F4,F6ADDD F0,F4,F6YY

NN

F4F4 M[10]M[10] LD F4,0(R3)LD F4,0(R3) YY

---- BNE F2,<…>BNE F2,<…> NN

F2F2

F10F10

F0F0

DIVD F2,F10,F6DIVD F2,F10,F6

ADDD F10,F4,F0ADDD F10,F4,F0

LD F0,10(R2)LD F0,10(R2)

NN

NN

NN

Done?

DestDest

Oldest

Newest

from Memory

1 10+R21 10+R2Dest

Reorder Buffer

Registers

2 ADDD R(F4),ROB12 ADDD R(F4),ROB16 ADDD M[10],R(F6)6 ADDD M[10],R(F6)

Page 46: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

46

3 DIVD ROB2,R(F6)3 DIVD ROB2,R(F6)2 ADDD R(F4),ROB12 ADDD R(F4),ROB1

Tomasulo With Reorder buffer:

ToMemory

FP addersFP adders FP multipliersFP multipliers

Reservation Stations

FP OpQueue

ROB7

ROB6

ROB5

ROB4

ROB3

ROB2

ROB1

----

F0F0M[10]M[10]

<val2><val2>ST 0(R3),F4ST 0(R3),F4

ADDD F0,F4,F6ADDD F0,F4,F6YY

ExEx

F4F4 M[10]M[10] LD F4,0(R3)LD F4,0(R3) YY

---- BNE F2,<…>BNE F2,<…> NN

F2F2

F10F10

F0F0

DIVD F2,F10,F6DIVD F2,F10,F6

ADDD F10,F4,F0ADDD F10,F4,F0

LD F0,10(R2)LD F0,10(R2)

NN

NN

NN

Done?

DestDest

Oldest

Newest

from Memory

1 10+R21 10+R2Dest

Reorder Buffer

Registers

Page 47: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

47

----

F0F0M[10]M[10]

<val2><val2>ST 0(R3),F4ST 0(R3),F4

ADDD F0,F4,F6ADDD F0,F4,F6YY

ExEx

F4F4 M[10]M[10] LD F4,0(R3)LD F4,0(R3) YY

---- BNE F2,<…>BNE F2,<…> NN

3 DIVD ROB2,R(F6)3 DIVD ROB2,R(F6)2 ADDD R(F4),ROB12 ADDD R(F4),ROB1

Tomasulo With Reorder buffer:

ToMemory

FP addersFP adders FP multipliersFP multipliers

Reservation Stations

FP OpQueue

ROB7

ROB6

ROB5

ROB4

ROB3

ROB2

ROB1

F2F2

F10F10

F0F0

DIVD F2,F10,F6DIVD F2,F10,F6

ADDD F10,F4,F0ADDD F10,F4,F0

LD F0,10(R2)LD F0,10(R2)

NN

NN

NN

Done?

DestDest

Oldest

Newest

from Memory

1 10+R21 10+R2Dest

Reorder Buffer

Registers

What about memoryhazards???

Page 48: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

48

Outline

• Dynamic Scheduling– Tomasulo Algorithm

• Speculation– Speculative Tomasulo Example

• Memory Aliases• Exceptions• Increasing instruction bandwidth• Register Renaming vs. Reorder Buffer• Value Prediction

Page 49: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

49

Avoiding Memory Hazards• WAW and WAR hazards through memory are eliminated

with speculation because actual updating of memory occurs in order, when a store is at head of the ROB, and hence, no earlier loads or stores can still be pending

• RAW hazards through memory are maintained by two restrictions: 1. not allowing a load to initiate the second step of its execution if

any active ROB entry occupied by a store has a Destination field that matches the value of the A field of the load, and

2. maintaining the program order for the computation of an effective address of a load with respect to all earlier stores.

• these restrictions ensure that any load that accesses a memory location written to by an earlier store cannot perform the memory access until the store has written the data

Page 50: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

50

Outline

• Dynamic Scheduling– Tomasulo Algorithm

• Speculation– Speculative Tomasulo Example

• Memory Aliases• Exceptions• Increasing instruction bandwidth• Register Renaming vs. Reorder Buffer• Value Prediction

Page 51: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

51

Exceptions and Interrupts• IBM 360/91 invented “imprecise interrupts”

– Computer stopped at this PC; its likely close to this address– Not so popular with programmers– Also, what about Virtual Memory? (Not in IBM 360)

• Technique for both precise interrupts/exceptions and speculation: in-order completion and in-order commit– If we speculate and are wrong, need to back up and restart

execution to point at which we predicted incorrectly– This is exactly same as need to do with precise exceptions

• Exceptions are handled by not recognizing the exception until instruction that caused it is ready to commit in ROB– If a speculated instruction raises an exception, the exception is

recorded in the ROB– This is why reorder buffers in all new processors

Page 52: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

52

Outline

• Dynamic Scheduling– Tomasulo Algorithm

• Speculation– Speculative Tomasulo Example

• Memory Aliases• Exceptions• Increasing instruction bandwidth• Register Renaming vs. Reorder Buffer• Value Prediction

Page 53: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

53

Increasing Instruction Fetch Bandwidth• Predicts next

instruct address, sends it out before decoding instructuction

• PC of branch sent to BTB

• When match is found, Predicted PC is returned

• If branch predicted taken, instruction fetch continues at Predicted PC

Branch Target Buffer (BTB)

Page 54: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

54

IF BW: Return Address Predictor• Small buffer of

return addresses acts as a stack

• Caches most recent return addresses

• Call Push a return address on stack

• Return Pop an address off stack & predict as new PC

0%

10%

20%

30%

40%

50%

60%

70%

0 1 2 4 8 16Return address buffer entries

Mis

pre

dic

tion f

requen

cy

go

m88ksim

cc1

compress

xlisp

ijpeg

perl

vortex

Page 55: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

55

More Instruction Fetch Bandwidth• Integrated branch prediction branch predictor is

part of instruction fetch unit and is constantly predicting branches

• Instruction prefetch Instruction fetch units prefetch to deliver multiple instruct. per clock, integrating it with branch prediction

• Instruction memory access and buffering Fetching multiple instructions per cycle:– May require accessing multiple cache blocks (prefetch to

hide cost of crossing cache blocks) – Provides buffering, acting as on-demand unit to provide

instructions to issue stage as needed and in quantity needed

Page 56: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

56

Outline

• Dynamic Scheduling– Tomasulo Algorithm

• Speculation– Speculative Tomasulo Example

• Memory Aliases• Exceptions• Increasing instruction bandwidth• Register Renaming vs. Reorder Buffer• Value Prediction

Page 57: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

57

Speculation: Register Renaming vs. ROB• Alternative to ROB is a larger physical set of registers

combined with register renaming– Extended registers replace function of both ROB and reservation

stations

• Instruction issue maps names of architectural registers to physical register numbers in extended register set – On issue, allocates a new unused register for the destination

(which avoids WAW and WAR hazards)– Speculation recovery easy because a physical register holding an

instruction destination does not become the architectural register until the instruction commits

• Most Out-of-Order processors today use extended registers with renaming

Page 58: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

58

Outline

• Dynamic Scheduling– Tomasulo Algorithm

• Speculation– Speculative Tomasulo Example

• Memory Aliases• Exceptions• Increasing instruction bandwidth• Register Renaming vs. Reorder Buffer• Value Prediction

Page 59: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

59

Value Prediction• Attempts to predict value produced by instruction

– E.g., Loads a value that changes infrequently

• Value prediction is useful only if it significantly increases ILP– Focus of research has been on loads; so-so results, no processor

uses value prediction

• Related topic is address aliasing prediction– RAW for load and store or WAW for 2 stores

• Address alias prediction is both more stable and simpler since need not actually predict the address values, only whether such values conflict– Has been used by a few processors

Page 60: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

60

(Mis) Speculation on Pentium 4

39%43%

24%

45%

24%

3%1%1%0%

20%

0%

5%

10%

15%

20%

25%

30%

35%

40%

45%

gzip

gcc

crafty

171.swim

173.applu

Mis

sp

ec

ula

tio

n F

rac

tio

n

Integer Floating Point

• % of micro-ops not used

Page 61: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

61

Perspective• Interest in multiple-issue because wanted to improve

performance without affecting uniprocessor programming model

• Taking advantage of ILP is conceptually simple, but design problems are amazingly complex in practice

• Conservative in ideas, just faster clock and bigger• Processors of last 5 years (Pentium 4, IBM Power 5, AMD

Opteron) have the same basic structure and similar sustained issue rates (3 to 4 instructions per clock) as the 1st dynamically scheduled, multiple-issue processors announced in 1995– Clocks 10 to 20X faster, caches 4 to 8X bigger, 2 to 4X as many

renaming registers, and 2X as many load-store units performance 8 to 16X

• Peak v. delivered performance gap increasing

Page 62: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

62

Summary … #2• Reservations stations: renaming to larger set of registers + buffering source operands

– Prevents registers as bottleneck– Avoids WAR, WAW hazards– Allows loop unrolling in HW

• Not limited to basic blocks: integer units get ahead, beyond branches

• Dynamic Scheduling• Interrupts and Exceptions either interrupt the current instruction or happen between

instructions

– Possibly large quantities of state must be saved before interrupting• Machines with precise exceptions provide one single point in the program to restart

execution

– All instructions before that point have completed– No instructions after or including that point have completed

• Hardware techniques exist for precise exceptions even in the face of out-of-order execution!

– Important enabling factor for out-of-order execution

Page 63: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

Appendix

Simplified Tomasulo Algorithm

Page 64: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

Example

• Simulate the execution of the below using Tomasulo's algorithm. Assume dual dispatch and a dual common data bus, neither dispatch nor broadcast being counted as separate cycle. Add/subtract takes 2 cycles and Multiply/divide takes 3 cycles. Make more charts as needed.

• i: R4 <- R0 + R2• j: R8 <- R0 * R4• k: R4 <- R4 - R2

Page 65: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

Notes

• Put a 0 in tag for any operand that is known and does not need to be calculated by another FU

• Put a number in the tag field for an operand corresponding to the number to the left of the RS location calculating that operand

• Do not put any intermediate data in the Data field, as they will be bypassed directly to the tag they were depend on. Just the final answers will be put in data fields.

• When a register’s value does not depend on a different tag – change its tag value in the rightmost table

Page 66: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

Planning… Cycle -> 0 1 2 3 4 5 6 instruction

i R0+R2->R4 D+E E B j R0*R4->R8 D E E E B k R4-R2->R4 D E E B

D: Dispatch (No additional cycle)E: ExecuteB: Broadcast(No additional cycle)

Page 67: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

Cycle 0

  Tag Sink Tag Source     Tag Sink Tag Source     Busy Tag Data

1 0 2.0 0 3.5   4 0 2.0 1 ----   0     2.0

2           5           2     3.5

3               Multiplier     4 Yes 1 10.0

    Adder                 8 Yes 4 7.8

Cycle 0: Dispatch i, j

Page 68: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

Cycle 1

  Tag Sink Tag Source

    Tag Sink Tag Source

    Busy Tag Data

1 0 2.0 0 3.5   4 0 2.0 1 ----   0     2.0

2 1 ---- 0 3.5   5           2     3.5

3    i

        Multiplier     4 Yes 2 ----

    Adder                 8 Yes 4 ----

Cycle 1: Dispatch k; Executed i

Page 69: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

Cycle 2

  Tag Sink Tag Source

    Tag Sink Tag Source

    Busy

Tag Data

1           4 0 2.0 0 5.5   0     2.0

2 0 5.5 0 3.5   5           2     3.5

3               Multiplier     4 Yes 2 ----

    Adder                 8 Yes 4 ----

Cycle 2: Executing j, k

Page 70: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

Cycle 3

  Tag Sink Tag Source     Tag Sink Tag Source     Busy Tag Data

1           4 0 2.0 0 5.5   0     2.0

2 0 5.5 0 3.5   5           2     3.5

3    k

        Multiplier     4 Yes 2 ----

    Adder                 8 Yes 4 ----

Cycle 3: Executed k

Page 71: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

Cycle 4

  Tag Sink Tag Source     Tag Sink Tag Source     Busy Tag Data

1           4 0 2.0 0 5.5   0     2.0

2           5    j

    2     3.5

3               Multiplier   4     2.0

    Adder                 8 Yes 4 ----

Cycle 4: Executed j

Cycle 5: R8 = 11Total number of cycle to complete the code: 5 to complete or 6 to update FLR

Page 72: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

• Simulate the execution of the code using Tomasulo's algorithm. Assume single dispatch and a single common data bus, dispatch not being counted as separate cycle but broadcast taking 1 cycle. Add/subtract takes 2 cycles, and Multiply takes 3 cycles. Make more charts as needed.

Page 73: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

Planning… Cycle -> 0 1 2 3 4 5 6 7 8 instruction

i R0+R2->R4 D+E E B j R0*R4->R8 D E E E B k R4-R2->R4 D E E B

D: Dispatch (No additional cycle)E: ExecuteB: Broadcast(No additional cycle)

Page 74: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created

Cycle 0

Page 75: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created
Page 76: Chapter 3 – Dynamic Scheduling CSCI/ EENG – 641 - W01 Computer Architecture 1 Prof. Babak Beheshti Slides based on the PowerPoint Presentations created