28
2011/2012 Updates to the International Technology Roadmap for Semiconductors (ITRS) Factory Integration Chapter: Future Directions for Our Industry James Moyne, Ph.D. Applied Materials Advanced Services

2011/2012 Updates to the International Technology …semieurope.omnibooksonline.com/2012/semicon_europa...2011/2012 Updates to the International Technology Roadmap for Semiconductors

  • Upload
    buicong

  • View
    237

  • Download
    2

Embed Size (px)

Citation preview

2011/2012 Updates to the International Technology Roadmap for Semiconductors (ITRS) Factory Integration Chapter:

Future Directions for Our Industry

James Moyne, Ph.D.

Applied Materials Advanced Services

Outline • Background

» Motivation Importance of the ITRS » Factory Integration focus areas

• Updating the ITRS: Process and results

• 2011-2012 Updates

• 2013 Revision plans

2 2

What is the ITRS?

• International Technology Roadmap for Semiconductors (ITRS) is a 15 year forward looking roadmap

Evaluates industry’s difficult challenges and technology requirements and then formulates potential solutions to meet these needs

Sets direction for the semiconductor industry (IC makers, suppliers and R&D)

International consensus effort, international participation

Technology pace is governed somewhat by Moore’s law

• Portions courtesy of

ITRS literature

3

What is the ITRS? Factory Integration (FI)

•Factory Integration (FI) Chapter and Factory Information and Control Systems (FICS) Sub-chapter

» FI objective: factories and enterprise systems are designed/ integrated for efficient and effective development/ manufacturing

» Factory is driven by cost, quality, productivity, and speed » Reduce factory capital and operating costs per function

» Faster delivery of new and volume products to the end customer

» Efficient/effective volume/mix production, high reliability & equipment reuse

» Enable rapid process technology shrinks and wafer size changes

» New focus (circa 2007) on waste reduction

Factory Operations

Production Equipment

AMHS Factory Information & Control Systems

Facilities

UI

• Portions courtesy of

ITRS literature

• Note: We are thinking of

changing this picture for 2013

4

Factory Integration Requirement Drivers

Cycle Time/Operational Flexibility:

Multiple lots per carrier and/or fewer

wafers per carrier. Get new products to

customer much faster.

Cycle Time Reduction &

Operational flexibility

Output per tool must increase:

Find breakthrough solutions that result

in significant increases in good wafer out

and increased OEE (eg: APC, e-Diag)

More good wafers out

per tool

The 300mm factory is much more

automated and must be designed to

transport hot-lots and hand-carry’s.

Highly automated factory

Reduce time to $$$/Cycle-time reduction:

What are stretch goals for cycle time

from ground-breaking to first full loop

wafer out. How to achieve quicker shrink?

Reduce Time to Money

Increased floor space effectiveness:

Don’t want each new generation to

drive big increase in cleanroom size,

esp. since fab is segregated Cu/non-Cu

and new metal layers added at each node.

Factory size is becoming

an issue

5

Outline • Background

• Updating the ITRS: Process and results » IMA and the ITRS » Metrology and Factory Integration Chapter impact

• 2011-2012 Updates

• 2013 Revision plans

6

APC Impact on the ITRS, 2003-2010

Integrated Measurement

Association

Integrated Metrology

And Sensor Suppliers APC Providers

Users

(IC Makers) Universities

Individual

Audit of

ITRS

Chapter

Suggestions

for change in

narrative and

tables

Consensus

Output

Communication to ITRS

Technical Working Group

(TWG) via TWG

Representative

ITRS TWG

Consensus

ITRS

Update

7

• Leveraging the Integrated Measurement Association

Results: Impact on the ITRS

2003-04, Significant Impact on Metrology Chapter

Impact Areas

Definitions for R2R, FDC Data quality

IM available today Factory-wide APC migration

Integration of R2R + FDC

together is critical

W2W and Batch2Batch R2R

APC Standards Reduce scrap as key benefit of FD

Identified Methodology for Impacting the ITRS

8

Previous Impact on the ITRS

2006-10, Significant Impact on Factory Integration Chapter, Factory Information and Control Sub-chapter

Impact Areas

APC Definitions and capabilities

Event-driven systems

APC as potential solution Power conservation

APC standards Virtual Metrology

APC / Non-APC capability integration

Proliferation of networks for control diag. & safety

Resource collaboration and data consolidation

Data quality and Time synchronization

Wafer level control Yield prediction and control

Matched R2R control Proliferation of EDA

T

I

M

E

9

Outline • Background

• Updating the ITRS

• 2011-2012 Updates

• 2013 Revision plans

10

ITRS 2011-12 Focus for APC Efforts • Entire Factory Integration Chapter

• Potential Solutions Tables and Narrative

» i.e., 2011 was a major revision year

• Examples of Topics Addressed » APC and yield; Yield prediction and its use in

enhancing APC, scheduling, maintenance, etc.

» Moving into the prediction space

» Improved Control: Wafer-to-wafer (W2W) control; within-wafer control

» Energy conservation and management: Carbon footprint and FI; integration with facilities management; idle mode management

» Waste reduction management: cycle time reduction and reduction of white space, waste metrics

» Needs and challenges for 450mm

11

FI Narrative Additions for 2011-12

• WHAT IS NEW WITH THE 2011 EDITION » FOCUS ON PREDICTION

» “A major addition to the 2011 edition is an emphasis on the movement from a reactive to predictive mode of operations.”

» Solutions such as predictive maintenance, predictive scheduling and planning, virtual metrology and yield prediction are all part of this paradigm shift.

» “Prediction technologies will begin to play a significant role in productivity improvement and waste reduction over the next seven years.”

» “…Roadmap indicating a migration towards an integrated predictive extension of all systems.”

12

FI Narrative Additions for 2011-12

• WHAT IS NEW WITH THE 2011 EDITION » ADVANCED PROCESS CONTROL AS AN EQUIPMENT AND FAB DESIGN REQUIREMENT

» “Advanced Process Control (APC, which includes run-to-run process control, fault detection, fault classification, fault prediction and statistical process control technologies, and often leverages integrated metrology for process control).”

» “has evolved past the state of being an add-on capability to being a design-in requirement both at the equipment and fab-wide level.”

» “This does not mean the equipment will necessarily have embedded APC,

but it does mean that the equipment will need to leverage APC capabilities (either internally or externally) to meet productivity and cost reduction targets”.

» APC (Advanced Process Control)

A

P

C

13

FI Narrative Additions for 2011

• WHAT IS NEW WITH THE 2011 EDITION » INTEGRATION OF FACILITIES REQUIREMENTS INTO FACTORY OPERATIONS

» “The increasing pressure of achieving environment goals will require that factory and facilities operations be coordinated.”

» “This will require increased attention to facility objectives in factory

objective functions.”

14

FI Difficult Challenges: Noteworthy Additions and Modifications

Table FAC1 Factory Integration Difficult Challenges Difficult Challenges through

2019

Summary Of Issues

1. Responding to rapidly changing, complex business requirements 2. Managing ever increasing factory complexity

. . .

• Addressing need to minimize energy resource usage and waste; e.g., need to integrate fab management and control with facilities management and control • Comprehending increased purity requirements for process and materials …

• Supporting adoption and migration of equipment communication protocol standards to meet ITRS challenges and be in sync with emerging technologies in systems communication and management such as XML and cloud computing. nd improving maintenance practices resulting from movement to new process materials that may be corrosive, caustic, environmentally impacting, molecularly incompatible etc. • Addressing factory integration challenges to assess and integrate EUV systems into the factory infrastructure • Addressing AMC challenges through possibly changing factory operation approach (e.g, maintaining vacuum in specific areas), as well as providing necessary interfaces, information and technologies (e.g., virtual metrology and APC). • Maintaining equipment availability and productivity while managing increase in sensors and systems within and outside the equipment, coordinated to support new paradigms (e.g., management of energy expended by the equipment and the fab in general, movement from reactive to fully predictive)

• Linking yield and throughput prediction into factory operation optimization • Real-time simulation in lock-step with production for operations prediction

Integration of fab and

facility management

and control

Increased emphasis

on communication

standards

Maintain availability

and productivity …

move to predictive Moved from “after 2017” to “before 2019”

• Yield prediction with feedback

• Real-time simulation in lock-step with production

15

Items Added and Moved Up

Production Equipment Potential Solutions (Excerpt)

450mm

mmuiremen

Research Required

Development Underway

Qualification/Pre-Production

Continous Improvement

Provides a 15-year outlook on potential solutions indicating where they are today and where they will be

Table Key

16

Production Equipment Potential Solutions (Excerpt)

First Year of IC Production 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020-2026

Productivity and Quality Improvement . . .

Process quality improvement through APC (including R2R

control, FDC, SPC and Fault Prediction) as a design-in

requirement; Designed-in APC inside or outside tool,

communicating with fab-wide APC system

Equipment functional verification through techniques including

fingerprinting and equipment health monitoring

….

Enhancement of equipment systems (sensors, models, etc.) and

reporting to support the move from reactive to predictive

capability …including predictive scheduling, fault prediction,

health prediction, predictive maintenance, virtual metrology, and

yield prediction.

Prognostics Health Management (PHM) capability to

provide a common health indication capability across tools,

and to provide input to a predictive tool health model

Predicitive tool health models to schedule maintenance on

need but before tool failure or yield impact

Standardized equipment data model …..

Build chambers to be matched and provide necessary data to

support confirmation of this matching; migration of Chamber

Variance Reporting to Chamber Variance Correction

Systems; reporting of data necessary to support chamber

matching

450mm

mmuiremen

17

Production Equipment Potential Solutions (Excerpt)

First Year of IC Production 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020-2026

Productivity and Quality Improvement . . .

Process quality improvement through APC (including R2R

control, FDC, SPC and Fault Prediction) as a design-in

requirement; Designed-in APC inside or outside tool,

communicating with fab-wide APC system

Equipment functional verification through techniques including

fingerprinting and equipment health monitoring

….

Enhancement of equipment systems (sensors, models, etc.) and

reporting to support the move from reactive to predictive

capability …including predictive scheduling, fault prediction,

health prediction, predictive maintenance, virtual metrology, and

yield prediction.

Prognostics Health Management (PHM) capability to

provide a common health indication capability across tools,

and to provide input to a predictive tool health model

Predicitive tool health models to schedule maintenance on

need but before tool failure or yield impact

Standardized equipment data model …..

Build chambers to be matched and provide necessary data to

support confirmation of this matching; migration of Chamber

Variance Reporting to Chamber Variance Correction

Systems; reporting of data necessary to support chamber

matching

450mm

mmuiremen

Designed-in APC inside or outside tool,

communicating with fab-wide system

Fingerprinting and equipment health

monitoring

Enhancement of equipment systems to

support move from reactive to predictive

Prognostics Health Management (PHM)

common health indication capability

across tools

Predictive tool health models for

predictive maintenance

Standardized equipment data model

Built-in chamber matching; movement

from chamber variance reporting to

chamber variance correction

18

Production Equipment Potential Solutions (Excerpt)

450mm

mmuiremen

First Year of IC Production 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020-2026

Improve Energy Efficiency

Capability to limit utilities and electric power

consumption during equipment idle periods

with insigificant restart time upon material

processing; includes management of

equipment "idle mode" without impacting

throughput or quality

Utilization of techniques including APC, fab

process tool idle mode, short interval

(predictive) scheduling, and green

chemistries, to coordinate fab and

facility operations to reduce overall fab

power consumption and carbon

footprint. Make energy efficient design an

important design metric, and waste

reduction a design and operation metric

Equipment “idle mode” management

Reduce power consumption and carbon

footprint with APC, idle mode, short

interval scheduling and green chemistries

19

Production Equipment Technology Requirements

Table FAC5 Production Equipment Technology Requirements

Year of Production 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020 2021

DRAM ½ Pitch (nm) (contacted) 40 36 32 28 25 22.5 20.0 17.9 15.9 14.2 12.6

Wafer Diameter (mm) 300 300 300 450 450 450 450 450 450 450 450

Process equipment availability (A80) >92% >94% >94% >95% >95% >95% >95% >95% >96% >96% >96%

Metrology equipment availability (A80) >96% >97% >97% >98% >98% >98% >98% >98% >98% >98% >98%

Maximum allowed electrostatic field on wafer and mask surfaces (V/m) for ESD

4,400 3,800 3,500 3,100 2,800 2,500 2,200 2,000 1,800 1,500 1,300

Maximum recommended electrostatic field at chrome mask surfaces (V/m) for EFM

500 500 500 500 500 500 500 500 500 500 500

Minimum equipment data output rates (Hz) from a tool

10Hz 10Hz 10Hz 100Hz 100Hz 100Hz 100Hz 100Hz 100Hz 100Hz 1kHz

Pervasiveness of APC as an integral part of equipment design and operation

Minimal Minimal Partial Partial All All All All All All All

Pervasiveness of predictive technologies such as virtual metrology PdM, PHM, yield prediction and predictive scheduling in certain equipment components (e.g., vacuum, abatement, gas supply systems) feeding into overall equipment predictive solution, to support improvements such as reduction in unscheduled downtime and improved yield.

Minimal Minimal Partial Partial All All All All All All All

Pervasiveness of Prognostics Health Management (PHM) capability as a common health indication capability across tools

None Minimal Partial Partial All All All All All All All

PdM: requirement for tool data and control support for PdM, both in-tool and in conjunction with external systems

Minimal Minimal Minimal Partial Partial Partial All All All All All

Item Added

Pervasiveness of APC as an integral part of equipment design &

operation

Item Added

Pervasiveness of predictive technologies such as virtual metrology

PdM, PHM, yield prediction and predictive scheduling in certain

equipment components (e.g., vacuum, abatement, gas supply

systems) feeding into overall equipment predictive solution, …

Item Added

Pervasiveness of Prognostics Health Management (PHM) capability as

a common health indication capability across tools

Item Added

PdM: requirement for tool data and control support for PdM, both in-

tool and in conjunction with external systems

21

FICS Technology Requirements

Table FAC7 Factory Information and Control Systems Technology Requirements

Year of Production 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020 2021

DRAM ½ Pitch (nm) (contacted) 40 36 32 28 25 22.5 20.0 17.9 15.9 14.2 12.6

Wafer Diameter (mm) 300 300 300 300 300 300 300 300 300 300

450 450 450 450 450 450 450

Downtime of a mission critical application (minutes per year)

<35 min <35 min <25 min <25 min <25 min <25 min <25 min <25 min ≤5 min ≤5 min ≤5 min

Unscheduled downtime of a mission critical application (minutes per year)

<15 min <15 min <10 min <10 min <10 min <10 min <10 min <10 min ≤5 min ≤5 min ≤5 min

Scheduled downtime of a mission critical application (minutes per year)

<20 min <20 min <15 min <15 min <15 min <15 min <15 min <15 min 0 min 0 min 0 min

Wafer-level (within-lot) recipe / parameter adjustment

Partial Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes

Within-wafer recipe / parameter adjustment

Partial (Litho)

Partial (Litho+)

Partial (Litho+)

Partial (Litho+)

Partial (Litho+)

Partial (Litho+)

Partial (Litho+)

Partial (Litho+)

Yes (All) Yes (All) Yes (All)

Relative accuracy of mission critical FICS clocks to fab-level time authority

5ms 5ms 1ms 1ms 1ms 1ms 1ms 1ms 1ms 1ms 1ms

FICS design to support peak equipment data transfer rates (Hz)

10Hz 10Hz 10Hz 100Hz 100Hz 100Hz 100Hz 100Hz 100Hz 100Hz 1kHz

FICS design to support peak factory data transfer rates (Hz)

150 kHz

150 kHz

150 kHz

>500 kHz

>500 kHz

>500 kHz

>500 kHz

>500 kHz

>1 MHz

>1 MHz

>1 MHz

• Peak rates of data coming

from each equipment • Peak (total) factory data rates

22

Outline • Background

• Updating the ITRS

• 2011-2012 Updates

• 2013 Revision plans

Disclaimer:

• These are just the author’s

observations from attending FI

meetings

• Additional details will be worked out

during the December ITRS

meetings

23

Leadership Changes Proposed

• Current Factory Integration chapter structure » Chairperson Gopal Rao, Intel

• 2013 proposed leadership » Chairperson James Moyne, Applied Materials

» 2-year tenure » 2013 and 2014 editions

» Co-chairperson Jonathan Change, TSMC » Gopal Rao of Intel will remain active

Disclaimer: These are just

the author’s observations

from attending FI meetings

24

Ideas Being Discussed for 2013 (1 of 3)

• Change the entire FI vision?

• Change the “Silo” style diagram overviewing FI » Emphasize commonality among areas » Emphasize integration of everything » Possibly eliminate or re-organize the sub-chapters » Possibly emphasize data driven » Incorporate impact of non-nano-manufacturing » Consider that fundamentally, 300mm and 450 are the same for FI » Consider possibility of a data driven approach

Factory Operations

Production Equipment

AMHS Factory Information & Control Systems

Facilities

UI

Disclaimer: These are just

the author’s observations

from attending FI meetings

25

Ideas Being Discussed for 2013 (2 of 3)

• More focus on movement from reactive to predictive » How do you incorporate prediction?

• More focus on looking to other industries’ FI practices

• (re)define/think KPI’s for FI » E.g., data performance metrics

• Question the centralized control concept » Is some form of autonomous control acceptable in some instances?

• Address the “Big Data” problem

• Control system discussions » Single point of control, predictive control, synchronization » Performance / speed of control » Product synchronization, e.g., RFID

Disclaimer: These are just

the author’s observations

from attending FI meetings

26

Ideas Being Discussed for 2013 (3 of 3)

• More focus on efficiency

• Include the roadmap for the supply chain in FI roadmap

• Look to see if there is a need for a security roadmap portion • Focus on technology ramps and cost

» Process control and DFM need to be integrated

• Consider that some FI items are now limiters to achieving major technology milestones » Identify them

Summary:

• It may be time for a major re-thinking re-writing of the FI chapter

• The FI team has already begun discussing these ideas

moving towards consensus

• The next big step will be the December meetings Taiwan

Disclaimer: These are just

the author’s observations

from attending FI meetings

27

Summary

• APC and related technologies will play an ever increasing role in nano-manufacturing and should thus be properly represented in the ITRS

• 2013 will be a major revision year with a strong possibility that there will be a re-thinking of the Factory Integration » Prediction » Integration » Data

• The Factory Integration Technology Working Group (TWG) is looking for additional input and participation from experts like you

28

Acknowledgements

• Gopal Rao (Intel), ITRS FI TWG chair

• ITRS FI members » Especially incoming co-chair Jonathan Chang

• The Integrated Measurement Association

• SEMI standards participants

• APC Conference organizers and participants

• Thank you !!

29