45
반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터 1 2015년 7월 1일 반도체/디스플레이 장비 Equity Research Industry In-depth 수주 모멘텀 확대는 지금부터 Overweight Top picks 및 관심종목 종목명 투자의견 TP(12M) CP(6월30일) 원익IPS(030530) BUY 18,000원 14,200원 테스(095610) BUY 25,000원 17,900원 비아트론(141000) BUY 18,500원 15,450원 유니테스트(086390) Not Rated N/A 16,450원 테크윙(089030) Not Rated N/A 11,250원 Analyst 이원식 02-3771-7721 [email protected] Analyst 남대종 02-3771-7775 [email protected] 반도체 장비산업, Big Cycle의 연속 2015년 글로벌 반도체 업체들의 Capex 규모는 $672억 (+4%YoY)로 2014년에 이어 성장세를 지속할 전망이다. 이 중 전체 반도체 시장 내 28%에 해당하는 국내 반도체 업체들 의 Capex 규모는 $187억으로 2014년 Capex 대비 4% 증가 하며 국내 장비산업 Cycle을 지속 이끌 전망이다. 국내 반도체 업체들의 Capex는 2015년에 이어 2016년에도 삼성전자의 평택 라인과 SK하이닉스의 M14 라인의 신규 증 설 영향으로 확대될 것으로 예상되는 가운데 국내 장비 업체들 은 ①장비 라인업 확대, ②가격 경쟁력, ③신속한 A/S 대응 등의 강점을 앞세워 해외 업체들과의 경쟁에서 입지를 강화해 나갈 것으로 예상한다. 디스플레이 장비, 중국에서 해답을 찾다 15년부터 시작되는 중국 패널업체들의 투자는 국내 장비 업체 들에게 기회의 땅이 될 것이다. 글로벌 패널 업체들의 15년, 16년 Capa 증가율이 각각 2%, 3%에 그칠 것으로 전망되는 가운데 중국 패널업체들의 Capa 증가율은 17%, 15%로 글로 벌 디스플레이 패널 투자를 주도할 것이기 때문이다. 국내 장 비업체들은 기존 삼성디스플레이 및 LG디스플레이에 공급했던 경험을 바탕으로 15년부터 본격화되는 중국 패널 업체들의 투 자 Cycle 속 역량을 확대해 나갈 것으로 판단한다. 디스플레이 패널업체들의 투자의 방향성이 개별 업체의 특수성 에 따라 차별화될 수 있으나, 중국 업체들은 LTPS AM OLED 중심의 중소형 패널과 8세대 이상의 LCD 라인이 중심이 될 것이다. 투자의견 Overweight, Top Picks 원익IPS, 테스, 비아트론 제시. 관심종목으로는 유니테스트, 테크윙 하나대투증권은 반도체/디스플레이 장비 산업에 대해 투자의견 Overweight/Neutral를 제시한다. Top Picks로는 원익IPS, 테스, 비아트론을 관심종목으로는 유니테스트, 테크윙을 제시 한다.

반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

Embed Size (px)

Citation preview

Page 1: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

1

2015년 7월 1일

반도체/디스플레이 장비

Equity Research

Industry In-depth

수주 모멘텀 확대는 지금부터

Overweight

Top picks 및 관심종목

종목명 투자의견 TP(12M) CP(6월30일)

원익IPS(030530) BUY 18,000원 14,200원

테스(095610) BUY 25,000원 17,900원

비아트론(141000) BUY 18,500원 15,450원

유니테스트(086390) Not Rated N/A 16,450원

테크윙(089030) Not Rated N/A 11,250원

Analyst 이원식

02-3771-7721

[email protected]

Analyst 남대종

02-3771-7775

[email protected]

반도체 장비산업, Big Cycle의 연속

2015년 글로벌 반도체 업체들의 Capex 규모는 $672억

(+4%YoY)로 2014년에 이어 성장세를 지속할 전망이다. 이

중 전체 반도체 시장 내 28%에 해당하는 국내 반도체 업체들

의 Capex 규모는 $187억으로 2014년 Capex 대비 4% 증가

하며 국내 장비산업 Cycle을 지속 이끌 전망이다.

국내 반도체 업체들의 Capex는 2015년에 이어 2016년에도

삼성전자의 평택 라인과 SK하이닉스의 M14 라인의 신규 증

설 영향으로 확대될 것으로 예상되는 가운데 국내 장비 업체들

은 ①장비 라인업 확대, ②가격 경쟁력, ③신속한 A/S 대응

등의 강점을 앞세워 해외 업체들과의 경쟁에서 입지를 강화해

나갈 것으로 예상한다.

디스플레이 장비, 중국에서 해답을 찾다

15년부터 시작되는 중국 패널업체들의 투자는 국내 장비 업체

들에게 기회의 땅이 될 것이다. 글로벌 패널 업체들의 15년,

16년 Capa 증가율이 각각 2%, 3%에 그칠 것으로 전망되는

가운데 중국 패널업체들의 Capa 증가율은 17%, 15%로 글로

벌 디스플레이 패널 투자를 주도할 것이기 때문이다. 국내 장

비업체들은 기존 삼성디스플레이 및 LG디스플레이에 공급했던

경험을 바탕으로 15년부터 본격화되는 중국 패널 업체들의 투

자 Cycle 속 역량을 확대해 나갈 것으로 판단한다.

디스플레이 패널업체들의 투자의 방향성이 개별 업체의 특수성

에 따라 차별화될 수 있으나, 중국 업체들은 LTPS AM OLED

중심의 중소형 패널과 8세대 이상의 LCD 라인이 중심이 될

것이다.

투자의견 Overweight, Top Picks 원익IPS, 테스, 비아트론

제시. 관심종목으로는 유니테스트, 테크윙

하나대투증권은 반도체/디스플레이 장비 산업에 대해 투자의견

Overweight/Neutral를 제시한다. Top Picks로는 원익IPS,

테스, 비아트론을 관심종목으로는 유니테스트, 테크윙을 제시

한다.

Page 2: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

2

CONTENTS

1. 반도체 장비산업, Big Cycle의 연속 3

투자는 지속될 수 밖에 없다 3

NAND는 적층(3D)이라는 New Paradigm. DRAM은 DDR4 전환 4

AMAT과 TEL의 합병 무산 9

2. 디스플레이 장비산업, 중국에서 해답을 찾다 12

중국 패널업체들의 공격적인 투자 12

중국의 디스플레이 산업 지원 정책 14

국내 장비업체들의 현실 15

AM OLED vs. 초대형 LCD 17

3. 투자전략 19

반도체 장비, 투자의견 Overweight 제시 19

디스플레이 장비는 선택적인 투자 필요, 투자의견 Neutral 제시 20

Top Picks: 원익IPS, 테스, 비아트론 21

4. 기업분석 25

원익IPS(030530.KQ) 26

테스(095610.KQ) 29

비아트론(141000.KQ) 32

에스에프에이(056190.KQ) 35

AP시스템(054620.KQ) 37

유니테스트(086390.KQ) 40

테크윙(036810.KQ) 42

Page 3: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

3

1. 반도체 장비산업, Big Cycle의 연속

1) 투자는 지속될 수 밖에 없다

메모리 반도체 업체들은 불완전한 형

태의 과점시장에서 살아남기 위해 향

후에도 꾸준한 투자를 집행할 것으로

전망

현재 메모리 반도체 시장 경쟁 구도는 DRAM 시장은 삼성전자, SK하이닉스, 마이크론으로

과거 10여개 이상의 제조업체가 난립하던 과잉경쟁 시장에서 3강 체제로 구축되고 있고,

NAND 시장은 삼성전자, 도시바(샌디스크), SK하이닉스, 마이크론(인텔)이 4강 체제를 구

축하고 있어 상위 3~4곳의 선두업체가 시장의 90% 이상을 장악하는 과점체제로 굳혀졌다

고 할 수 있다.

하지만 여기서 중요한 점은 메모리 업체들은 여전히 과점시장의 과실을 온전히 누리지 못한

다라는 점이다. 이는 메모리 반도체 가격은 장기적으로 지속 하락하며, 기술 진보로 Quality

가 개선되도 Quantity 증가가 동반되어 가격 하락이 초래되기 때문이다. 이러한 이유로 반

도체 메모리 업체들은 제품의 질적 개선을 위한 투자 유인이 존재하며, 경쟁사가 먼저 투자

결정을 내릴 경우 따라갈 수 밖에 없는 구조를 지닌다.

삼성전자가 중국 시안 공장을 중심으로 3D NAND 투자를 확대하고 있는 가운데 SK하이닉

스, 도시바, 마이크론 등 경쟁 업체들도 3D NAND 투자를 계획하고 있는 점은 메모리 반도

체 시장이 불완전한 형태의 과점시장을 형성 하고 있다는 점을 반증한다. 이처럼 하나대투증

권이 반도체 장비시장을 Overweight로 투자의견을 제시하는 원인도 메모리 반도체 업체들

은 불완전한 형태의 과점시장에서 살아남기 위해 향후에도 꾸준한 투자를 집행할 것으로 전

망하기 때문이다.

그림 1. DRAM 가격 추이: 장기적으로 지속 하락 그림 2. 메모리 반도체 업체들의 Capex 추이 및 전망: 안정적인 증가

1

1.5

2

2.5

3

08 09 10 11 12 13 14 15

512Mbit 1Gbit($)

-20%

-10%

0%

10%

20%

30%

0

5,000

10,000

15,000

20,000

25,000

10 11 12 13 14 15F

메모리 반도체 Capex(좌) YoY(우)(USDmn)

자료: Gartner, 하나대투증권 자료: DRAMeXchange, 하나대투증권

Page 4: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

4

2) NAND는 적층(3D)이라는 New Paradigm. DRAM은 DDR4 전환

3D NAND는 수직 적층 기술

패러다임이 전환되며 나온 메모리

반도체 업계의 첫 번째 결실

현재 메모리 반도체는 기술적 어려움으로 인해 한 세대에 10nm씩 진행하던 미세공정을

20nm대 부터는 X, Y, Z 세 단계의 Step으로 나눠 진행하고 있다. 특히 설계와 제조가 상

대적으로 간소한 덕에 미세화 진도가 빠른 NAND가 DRAM보다 먼저 미세 공정의 한계에

부딪히고 있다. 이에 따라 전방 업체들은 미세공정 대신 수직 적층으로 집적도를 향상시키는

방식을 연구해왔다. 3D NAND는 바로 수직 적층으로 기술 패러다임이 전환되면서 나온 메

모리 반도체 업계의 첫 번째 결실이라고 할 수 있다.

3D NAND 시장의 성장은 의심할 필요가 없다고 사료된다. 이유는 간단하다. 메모리 생산업

체 입장에서는 ①미세화를 통한 원가 절감과 ②미세화를 통한 용량 증가를 선택할 수 밖에

없기 때문이다.

그림 3. 미세화 한계로 NAND 용량 증가세는 둔화 그림 4. 미세화 한계로 원가 개선 속도 둔화

0%

20%

40%

60%

80%

100%

120%

140%

0

10,000

20,000

30,000

40,000

50,000

07 08 09 10 11 12 13 14F 15F

출하량(좌) YoY(우)(16Gb M)

자료: DRAMeXchange, 하나대투증권 자료: Applied Materials, 하나대투증권

그림 5. 3D NAND는 같은 용량의 Planar NAND 대비 웨이퍼 면적

소모량이 낮음 그림 6. 1Xnm 미세화 지연으로 3D NAND 생산량 확대

0%

20%

40%

60%

80%

100%

1Q14 2Q14 3Q14 4Q14 1Q15 2Q15E 3Q15F 4Q15F

6X & 7X nm 5X nm 4Xnm3Xnm 2xnm 20nm-class≤1xnm 3D-NAND

자료: Applied Materials, 하나대투증권 자료: DRAMeXchange, 하나대투증권

Page 5: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

5

3D NAND 수율 개선 시 원가 개선

속도는 Planar NAND의 개선 속도를

앞지를 전망

3D NAND는 현재 삼성전자를 중심으로 투자가 진행되고 있다. 삼성전자는 3D NAND 양산

을 위해 13년 하반기부터 중국 시안 공장의 투자를 진행하고 있다. 이는 SSD부터 서버

Storage가 견인할 NAND의 폭발적인 성장 시장을 위해 Planar NAND보다 출하 용량을

보다 쉽게 늘릴 수 있는 3D NAND를 선택한 것이다. 또한 속도와 저전력, 생산 원가 측면

에서 2D Planar NAND보다 우위에 있는 만큼 중장기적으로는 SSD와 Storage에 그치지

않고 모바일 등 embedded NAND 시장으로 침투할 가능성도 충분하다.

삼성전자는 또한 3D NAND를 중심으로 기업용 SSD 시장 공략에 집중하겠다고 밝힌바 있

다. 이는 주요 글로벌 업체들의 데이터 센터 투자로 인해 서버 수요가 증가함에 따라 SSD수

요도 함께 증가하고 있기 때문이다.

그림 7. NAND 시장 내 SSD 비중은 지속 증가

3D NAND 개발로 1TB급 대용량

SSD 생산이 가능해지면서, 생산

업체의 기업용 SSD 시장 진출

증가로 시장 확대

5%8%

13%

25%

30%

0%

5%

10%

15%

20%

25%

30%

35%

0.0

10,000.0

20,000.0

30,000.0

40,000.0

50,000.0

2011 2012 2013 2014 2015F

NAND 수요량(좌) SSD 비중(우)(M 16Gb)

자료: DRAMeXchange, 하나대투증권

표 1. 미국 주요 업체들의 데이터 센터 투자 현황 : 빅데이터의 중요성이 높아지면서 기업의 데이터센터 투자가 증가하고, 스토리지 시장 확대로 인해 SSD 수요 증가

업체 주요 지역 최근 데이터 센터 투자 현황

애플 노스캐롤라이나, 오리건, 뉴저지 - 클라우드 서버 지원을 위해 오리건 프린빌에 여의도와 비슷한 규모의 2개 데이터 센터 건립 추진

구글

사우스/노스캐롤라이나, 아이오와,

조이아, 오클라호마, 오레곤,

핀란드, 벨기에, 아일랜드, 칠레,

홍콩, 싱가폴, 대만

- 구글이 데이터센터에 투자한 금액 → 210억 달러(한화 22조원 가량) → 분기마다 10억 달러 투자

- 전세계적으로 36개 데이터 센터를 구축했으며, 약 90만대 서버 보유

- 핀란드 데이터센터에 6억 800만 달러 투자

- 13년 11월에만 아이오와 데이터센터 확장에 2억 달러, 사우스/노스캐롤라이나 캠퍼스에 각 6억 달

러 투자

- 벨기에 데이터센터 용량추가를 위해 3.9억 달러 등 11.9억 달러 투자

- 남미와 아시아 국가에서도 네트워크 인프라 확장 중

페이스북

캘리포니아(산타플라라, 산호세,

샌프란시스코), 버지니아, 오레곤,

노스캐롤라이나

- 미국 캘리포니아와 버지니아 등에 10개 데이터센터 임대, 오레건과 노스캐롤라이나는 자체 건설

- 13년 6월 스웨덴 롤레오에 외부 냉기와 수력 발전 전기 사용 데이터 센터 오픈

- 친환경 데이터 센터 추가설립계획

아마존 버지니아, 캘리포니아, 플로리다,

뉴저지, 워싱턴

- 08년부터 자사의 서버에 약 0.9달러 투자

- 아마존 웹 서비스는 40,000개 서버를 클라우드 사용자에게 제공

- 미국, 유럽, 브라질, 일본 등 총 9개 국가에 25개의 데이터 센터 설치

자료: 정보통신연구진흥원, 하나대투증권

Page 6: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

6

DRAM 미세화 난이도 증가는 주요

장비, 부품, 소재 수요 확대를 견인

DRAM 시장은 NAND 시장과 달리 미세 공정화로는 갖가지 기술적 이슈에 따라 돌파구를

마련하는 것이 쉽지 않은 상황이다. 미세공정 전환의 어려움은 기술적 이슈뿐만 아니라

10nm 이하의 미세 공정에서 핵심이 되는 EUV 노광 장비 상용화의 지연 또한 영향을 주고

있다. EUV 장비는 2017년 이후에나 상용화가 가능할 것으로 보이며, 이마저도 대당 가격이

$1.0~1.5억 달러로 높은 가격대를 형성하고 있고 ASML만이 독점 개발을 진행하고 있다는

점도 메모리 생산업체 입장에서는 큰 부담 요인으로 작용할 것이다.

미세화 난이도 증가는 전공정 장비 및 부품, 소재 수요 확대를 견인하고 있다. 공정 Step 증

가로 장비 소요 대수가 증가하고, 새로운 장비의 도입이 필요해졌으며, 공정 관련 소재(케미

칼, 특수가스, 프리커서 등) 수요 확대를 이끌어 내고 있다. 10nm급 노광을 위한 장비가 양

산되지 못하는 상황으로 각 소재 업체들은 기존 노광 장비(ArF Immersion)을 이용해 노광

을 여러 번 나누어 진행해 회로 선폭을 미세화하는 DPT(Double Patterning Tech),

QPT(Quadruple Patterning Tech) 등 멀티패터닝 기술을 적용하고 있다.

DPT와 QPT의 의미는 10nm급 구현을 위해 필수적인 EUV 노광 장비 부재에 따라 한번의

노광으로 완료될 수 있는 공정을 Double(2회), 또는 Quadruple(4회)로 여러 번 진행한다는

의미이다. 즉 공정 Step이 증가함에 따라 전공정 장비 및 관련 소재의 수요 증가가 확대될

수 밖에 없는 것이다. 전방 업체들의 Capa 증가가 없더라도 이러한 공정 기술 패러다임 변

화로 인해 관련 업체들의 실적은 지속적으로 개선될 전망이다.

표 2. 반도체 전공정 내 부문별 장비 시장 추이 및 전망 (단위: USDmn)

2013 2014 2015F 2016F 2017F

Lithography 6,496.4 7,109.6 7,713.3 8,228.0 9,449.5

Photoresist Processing (Track) 1,305.5 1,579.7 1,473.0 1,372.6 1,430.9

Ion Implant/Doping Equipment 813.1 832.8 881.4 791.6 810.2

RTP and Oxidation/Diffusion (Including OEM) 910.2 858.7 881.6 829.5 864.0

Deposition 5,635.1 7,060.2 7,409.2 6,982.1 7,460.7

Etch, Clean and Planarization 6,653.8 8,922.2 9,163.9 8,579.1 9,081.1

Manufacturing Automation and Control (Including OEM) 1,695.9 1,435.2 1,556.4 1,532.1 1,660.7

Manufacturing Automation and Control (OEM Elimination) -308.0 -310.0 -363.3 -349.5 -375.1

Process Control (Including OEM) 3,899.4 4,039.3 4,355.9 4,230.2 4,642.2

Process Control (OEM Elimination) -18.0 -14.0 -15.5 -14.0 -16.5

Other Wafer Fab Equipment 389.8 439.4 468.9 441.4 489.6

Total Wafer Fab Equipment 27,473.1 31,953.0 33,524.7 32,623.2 35,497.3

YoY 16% 5% -3% 9%

주: 삼성전자 평택 투자 발표 전 전망 자료

자료: Gartner,하나대투증권

Page 7: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

7

DDR4의 상용화 한편 현재 DRAM 시장은 메모리 업체들의 20nm대 전환 속에 DDR4 적용이 확대되고 있

다. 서버 관련 시장이 확대되는 가운데 DDR3 제품의 속도 한계와 전력 소모 한계를 극복하

기 위해 DDR3 대비 속도는 2배 증가하고, 전력 소모량은 30% 감소하는 DDR4 적용이 본

격화 되고 있다. 현재는 서버 중심으로 사용되고 있으나 15년 말부터는 일반적인 PC에서도

채택될 것으로 전망된다.

그림 8. DRAM 종류별 구동전압 비교 그림 9. DDR3 vs DDR4 전력소모량 비교

2.5

1.81.5 1.35 1.2

0

0.5

1

1.5

2

2.5

3

DDR DDR2 DDR3 DDR3L DDR4

Operating Voltage(Volts)

3.49 2.88 2.881.48

4.13

3.23 2.65

2.04

0.0

2.0

4.0

6.0

8.0

10.0

40nm DDR3 30nm DDR3L 20nm DDR3L 20nm DDR4

IO Power Core Power(W)

1X

0.8X0.73X

0.46X

자료: 하나대투증권 자료: 하나대투증권

그림 10. DRAM 종류별 구동 전압과 속도 차이

DDR4 장점은 데이터 처리속도 향상과

낮은 구동 전압

0.0

0.5

1.0

1.5

2.0

2.5

3.0

3.5

0

500

1,000

1,500

2,000

2,500

3,000

3,500

SDR DDR DDR2 DDR3 DDR3L DDR4

Speed(좌) Voltage(우)(Mbps) (Volts)

자료: DRAMeXchange, 하나대투증권

표 3. DDR3와 DDR4 주요 사양 비교

Feature DDR3 DDR4

Component Density, 512Mb - 4Gb 4Gb - 8Gb

Speed 0.8 - 2.1Gbps 1.6 - 3.2Gbps

Module Density 1, 2, 4, 8, 16, 32 and 64GB 8, 16, 32, 64 and 128GB

Interface Voltage (VDD, VDDQ, VPP) 1.5V, 1.5V, NA 1.2V, 1.2V, 2.5V

Vref External Vref (VDD, 2) Internal Vref (need training)

Data I/O

Center Tab Termination POD (34ohm)

(CTT) (34ohm)

자료: 하나대투증권

Page 8: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

8

그림 11. 서버용 DDR3 vs DDR4 비중 전망 그림 12. PC용 DDR3 vs DDR4 비중 전망

0%

20%

40%

60%

80%

100%

1Q14 2Q14 3Q14 4Q14 1Q15 2Q15F 3Q15F 4Q15F

Servers DDR3 Servers DDR4

0%

20%

40%

60%

80%

100%

1Q14 2Q14 3Q14 4Q14 1Q15 2Q15F 3Q15F 4Q15F

Desktops DDR3 Desktops DDR4

자료: 하나대투증권 자료: 하나대투증권

DDR4 적용 확대는 후공정 장비 시장에 또 다른 변화를 가져오고 있다. 기존 DDR3용 스피

드 테스트의 경우 DDR4용으로 사용이 불과하다 보니 신규 시장의 창출로 이어지고 있는 것

이다. DDR4 시장 본격화에 따른 수혜는 단기 모멘텀에 그치지 않을 전망이다. 앞에서 언급

했듯이 DDR4 시장은 14년 하반기부터 서버 시장 중심으로 확대되고 있고, 올해부터는 하

이엔드 스마트폰에 LPDDR4가 적용될 전망이며, 연말에는 하이엔드 PC에 적용되며 어플리

케이션별로 순차적으로 적용될 예정이기 때문이다. 내년부터는 중저가 세트로까지 확산되며

DDR4 적용 확대에 따른 후공정 장비 업체들의 수주 확대는 지속될 것으로 예상된다.

그림 13. DRAM 제품별 출하량 전망(기가바이트 기준) 그림 14. DRAM 제품별 출하량 비중 전망(기가바이트 기준)

0.0

500.0

1,000.0

1,500.0

2,000.0

2,500.0

3,000.0

1Q14 3Q14 1Q15 3Q15F 1Q16F 3Q16F

DDR1 DDR2 DDR3 DDR4(백만)

24%32%

38%45% 49% 52%

0%

20%

40%

60%

80%

100%

1Q14 3Q14 1Q15 3Q15F 1Q16F 3Q16F

DDR1 DDR2 DDR3 DDR4

자료: Gartner, 하나대투증권 자료: Gartner, 하나대투증권

Page 9: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

9

3) AMAT과 TEL의 합병 무산

국내 장비업체들의 경쟁력 강화는

지속

현재 반도체 장비 산업은 대형 장비업체들의 합병으로 긍정과 부정, 양면의 결과를 초래하고

있다. 긍정적인 점은 글로벌 최고 기술을 보유한 장비업체에 일괄 수주를 통해 안정적인 라

인을 설계할 수 있으나, 부정적인 점은 단일 장비업체에 대한 의존성이 높아지고, 높아진 장

비 가격으로 인해 감가비 등의 비용 부담이 증가할 수 있다는 것이다.

어플라이드 머티리얼즈와 도쿄 일렉트론의 합병은 지난 4월 최종 무산되었다. 양사는 지난

2013년 9월 합병을 선언했지만 1년 6개월이 넘도록 각 국가별 규제 당국 문턱을 넘지 못했

다. 회사측에 따르면 새로운 합병 법인으로 얻을 이익이 합병 전보다 적을 것으로 판단해 합

병 작업을 중단했다고 설명했지만, 규제 승인을 검토 중인 국가에서 현실적으로 합병 승인

가능성이 없는 것으로 판단한 것이 주요 원인인 것으로 사료된다.

합병 무산에 가장 큰 걸림돌이 된 국가는 미국과 중국이었다. 세계 유수 장비 기업이 포진했

고 시장이 큰 미국에서 경쟁사간 견제와 눈치보기가 치열했던 것으로 풀이된다. 중국 또한

반도체 산업 육성에 관심을 가지면서 양사 합병이 자국에 미칠 영향에 대해 부정적인 견해

를 나타냈던 것으로 판단된다. 어플라이으 머티리얼즈와 오쿄 일렉트론이 증착장비 사업 부

문을 떼어내는 초강수까지 두며 합병 승인을 요청했으나 반도체와 디스플레이 분야를 전부

아우르는 거대 장비기업 출범을 우려한 국가 판단은 쉽게 떨어질 수 없기 때문이다.

두 회사가 전공정 내 차지하는 비중은 14년 기준 58% 수준이다. 선진 기술을 필요로 하는

대부분의 반도체 업체들이 동사의 장비를 사용해야 한다는 의미일 것이다. 반도체 생산업체

로서는 이러한 흐름을 달가워하지만은 않을 것이다.

국내 장비업체들의 글로벌 점유율을 살펴봤을 때 원익IPS, 테스 등이 14년기준 각각 1-2%

수준에 불과한 점은 아직도 국내 반도체 장비업체들이 헤쳐나가야할 길이 많다는 의미이겠

으나, 그 만큼 또 성장해 나갈 수 있는 잠재력이 크다는 점에서 긍정적이라고 판단한다.

표 4. 반도체 증착 시장 내 기업별 경쟁력 추이 (단위: USDmn)

매출액 YoY M/S

2012 2013 2014 2013 2014 2013 2014

Applied Materials 2,834.2 2,605.6 3,235.5 -8% 24% 45.6% 45.3%

Lam Research 628.6 725.9 948.0 15% 31% 12.7% 13.3%

Tokyo Electron 733.8 601.5 884.2 -18% 47% 10.5% 12.4%

ASM International 309.4 427.3 528.9 38% 24% 7.5% 7.4%

Hitachi Kokusai Electric 336.2 324.3 479.1 -4% 48% 5.7% 6.7%

Veeco 269.6 197.3 237.9 -27% 21% 3.5% 3.3%

Aixtron 227.3 183.7 196.2 -19% 7% 3.2% 2.7%

Wonik IPS 0.0 107.9 124.0 15% 1.9% 1.7%

Ulvac 90.1 89.0 77.3 -1% -13% 1.6% 1.1%

TES 13.9 28.0 72.6 101% 159% 0.5% 1.0%

SPTS Technologies 0.0 53.8 65.8 22% 0.9% 0.9%

Oerlikon Wafer Process 40.1 61.3 54.7 53% -11% 1.1% 0.8%

Jusung Engineering 38.4 32.0 51.0 -17% 59% 0.6% 0.7%

Eugene Technology 0.0 76.9 47.6 -38% 1.3% 0.7%

Others 472.4 201.7 145.8 -57% -28% 3.5% 2.0%

Total 5,994.0 5,716.2 7,148.7 -5% 25% 100% 100%

자료: Gartner, 하나대투증권

Page 10: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

10

국내 세트업체들은 인수 합병을 통해 협상력을 키우고 있는 해외 장비업체들을 견제하기 위

해 국내 업체들과의 공조를 강화해 나갈 것이다. 국내 전공정 장비업체들 역시 세트업체들과

의 협력이 확대되면서 공동개발을 통한 손쉬운 특화, 신속한 사후대응, 가격 경쟁력 등의 강

점을 앞세워 글로벌 시장 내 입지를 강화하고 있다.

전방 업체들의 우수한 공정 경쟁력을 위해서는 장비 업체들과의 긴밀한 협력이 필수적이다.

따라서 공정 미세화가 기술적 한계에 임박할수록 관련 장비업체들 역시 Post 미세공정 시대

를 주도할 대안 기술에 대한 철저한 준비가 필요할 것으로 판단된다.

표 5. 전공정 Process 및 관련 국내/외 경쟁사 현황

산화공정 감광액도포 노광공정 현상공정 식각 이온주입 증착 금속배선

국내 국제엘렉트릭 쎄메스 피에스케이 원익IPS,

테스

해외 AMAT

Tokyo Electron

TEL

Dainippon

ASML

Nikon

KLA-Tencor

AMAT

Lam Research

TEL

Varian

AMAT

AMAT

TEL

AMAT

Novellus

자료: 하나대투증권

표 6. 해외 반도체 장비업체들의 Valuation 검토 (단위: USDmn)

Name ASML Applied

Material TEL Lam Research KLA-Tencor Ulvac 평균

Nation NL US JP US US JP

주가 ($) 89.1 25.0 9,083.00 79.5 70.3 1,854.0

시가총액 46,698.7 30,487.9 13,665.00 12,629.40 11,561.10 761.9

매출액 2014 6,967.4 7,509.0 6,018.40 3,598.90 2,842.80 1,880.8

2015F 7,038.4 9,088.7 4,998.90 5,030.10 2,935.90 1,479.0

2016F 8,250.5 10,144.9 5,377.50 5,353.90 3,278.30 1,549.3

영업이익 2014 1,392.0 432.0 151.9 118.1 729.7 70.4

2015F 1,464.8 1,786.2 625.6 979.7 743.5 88.5

2016F 2,091.1 2,123.1 854.2 1,100.50 971.4 109.2

순이익 2014 1,348.9 256.0 73.5 113.9 543.1 (43.8)

2015F 1,387.1 1,272.9 416.6 805.6 512.5 61.7

2016F 1,914.0 1,592.6 582.4 929.6 691.6 76.4

EPS ($) 2014 3.1 0.2 0.4 0.7 3.3 (1.0) 1.1

2015F 3.2 1.1 2.3 4.7 3.1 1.2 2.6

2016F 4.4 1.3 3.2 5.4 4.3 1.4 3.4

PER (x) 2014 28.8 28.9 122.8 37.6 24.5 N/A 48.5

2015F 34.7 23.4 32.3 16.8 22.8 13.3 23.9

2016F 24.8 20.4 23.4 15.6 16.3 10.7 19.2

BPS ($) 2014 21.7 5.9 35.2 27.6 21 8.2 19.9

2015F 20.7 6.4 28.3 31.2 8.6 10.4 17.6

2016F 23.1 7.2 31 32.6 9.6 11.7 19.2

PBR (x) 2014 4.3 3.0 1.3 1.6 2.6 1.0 2.3

2015F 5.3 3.9 2.7 2.5 8.1 1.5 4.0

2016F 4.8 3.5 2.5 2.4 7.3 1.3 3.6

자료: Bloomberg, 하나대투증권

Page 11: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

11

표 7. 국내 반도체 장비업체들의 Valuation 검토 (단위: 십억원)

Name 원익IPS 테스 케이씨텍 유진테크 피에스케이 평균

Nation KR KS KS KS KS

주가 (원) 13,900 18,000 12,100 16,000 12,400

시가총액 1,102.8 185.1 407.3 365.7 247.0

매출액 2014 557.2 109.7 357.0 78.4 148.6

2015F 668.0 122.2 430.2 141.1 163.7

2016F 777.9 149.8 476.9 178.5 181.1

영업이익 2014 91.9 16.3 31.2 12.3 17.5

2015F 120.7 20.3 42.1 39.7 23.4

2016F 146.3 27.3 51.0 54.7 31.0

순이익 2014 46.8 14.7 25.8 15.5 10.8

2015F 88.0 20.9 35.9 36.8 18.2

2016F 100.4 26.8 41.9 48.2 24.1

EPS (원) 2014 581.0 1,491.0 771.0 694.0 531.0

2015F 994.0 2,037.0 1,075.0 1,646.0 893.0

2016F 1,247.0 2,605.0 1,254.0 2,154.0 1,183.0

PER (x) 2014 24.0 12.2 10.4 23.3 27.7 19.5

2015F 14.0 8.8 11.3 9.7 13.9 11.5

2016F 11.1 6.9 9.6 7.4 10.5 9.1

BPS (원) 2014 4,434.0 8,171.0 7,486.0 7,695.0 6,844.0

2015F 5,565.4 9,799.3 8,420.5 9,150.0 8,510.0

2016F 6,813.9 12,251.3 9,621.3 11,042.4 9,756.5

PBR (x) 2014 3.1 2.2 1.1 2.1 2.1 2.1

2015F 2.5 1.8 1.4 1.7 1.5 1.8

2016F 2.0 1.5 1.3 1.4 1.3 1.5

주: 모든 추정치는 컨센서스 기준

자료: FnGuide, 하나대투증권

Page 12: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

12

2. 디스플레이 장비산업, 중국에서 해답을 찾다

1) 중국 패널업체들의 공격적인 투자

중국 업체들의 투자 Cycle는 16년까

지 지속

디스플레이 장비 시장은 LCD 패널 시장의 구조적 공급과잉에 다른 패널기업들의 설비 투자

감소 영향으로 지난 10년 전 대비 대폭 축소되었다. 이는 장비 수요는 패널 업체들의 신규

라인 증설에 따른 설비투자와 맞물려 변동이 크게 나타나고 있으며, 11년 이후 대규모 투자

가 감소함에 따라 장비 업체들의 실적 또한 불황기로 접어들었기 때문이다.

향후 장비시장은 LCD에 대한 대규모 설비투자가 아닌 전환투자 중심으로 전개가 예상되어

큰 폭의 성장은 기대하기 어려운 상황이다. 과거 디스플레이 장비시장은 a-Si 장비가 대부

분이었으나 현재는 고부가가치 라인 전환에 따라 Oxide 및 LTPS 장비 중심으로 시장이 형

성될 전망이기 때문이다.

중국 업체들은 15년부터 6세대급 LTPS 라인과 8세대 이상의 LCD 라인에 투자를 집중할

전망이다. 글로벌 패널 업체들의 15년, 16년 Capa 증가율은 각각 2%, 3%에 그칠 것으로

전망되는 가운데 중국 패널업체들의 Capa 증가율은 17%, 15%로 글로벌 디스플레이 패널

투자를 주도할 것이다. 국내 장비업체들은 기존 삼성디스플레이 및 LG디스플레이에 공급했

던 경험을 바탕으로 15년부터 본격화되는 중국 패널 업체들의 투자 Cycle 속 역량을 확대해

나갈 것으로 판단한다.

표 8. 지역별 디스플레이 장비 시장 규모 추이 및 전망 (TFT-Array 공정 기준) (단위: USDmn)

2006 2007 2008 2009 2010 2011 2012 2013 2014 2015F 2016F

시장 규모 Japan $1,182 $1,576 $651 $1,242 $732 $1,024 $217 $428 $140 $709 $223

Korea $1,798 $1,200 $3,359 $865 $3,721 $2,410 $559 $907 $614 $713 $308

Taiwan $3,215 $1,185 $2,629 $1,258 $1,220 $507 $326 $45 $0 $1,140 $188

China $445 $269 $753 $327 $1,647 $2,516 $805 $2,158 $2,953 $2,628 $3,490

Singapore $17 $26 $0 $0 $0 $188 $0 $0 $0 $0 $0

Total $6,657 $4,256 $7,392 $3,692 $7,320 $6,646 $1,908 $3,538 $3,708 $5,191 $4,209

비중 규모 Japan 18% 37% 9% 34% 10% 15% 11% 12% 4% 14% 5%

Korea 27% 28% 45% 23% 51% 36% 29% 26% 17% 14% 7%

Taiwan 48% 28% 36% 34% 17% 8% 17% 1% 0% 22% 4%

China 7% 6% 10% 9% 22% 38% 42% 61% 80% 51% 83%

Singapore 0% 1% 0% 0% 0% 3% 0% 0% 0% 0% 0%

Total 100% 100% 100% 100% 100% 100% 100% 100% 100% 100% 100%

자료: 디스플레이서치, 하나대투증권

표 9. Generation Type별 투자 규모 추이 및 전망 (TFT-Array 공정 기준) (단위: USDmn)

Gen 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016

3 and less $62 $102 $15 $9 $0 $84 $0 $11 $0 $0 $0

3.25 $121 $74 $49 $69 $0 $126 $14 $0 $0 $0 $0

3.5 $33 $22 $0 $0 $0 $0 $0 $0 $0 $0 $0

4 $603 $541 $325 $415 $789 $474 $293 $247 $0 $108 $0

5 $1,851 $36 $849 $171 $232 $0 $392 $0 $0 $85 $83

5.5 $0 $25 $0 $0 $234 $1,121 $719 $1,420 $198 $0 $173

6 $875 $792 $1,908 $604 $1,586 $731 $113 $695 $772 $2,676 $2,381

7 $2,505 $960 $1,117 $343 $0 $0 $0 $0 $0 $0 $0

8 $606 $1,703 $2,733 $1,412 $4,043 $4,110 $378 $1,165 $2,738 $2,279 $1,572

10 $0 $0 $396 $670 $436 $0 $0 $0 $0 $43 $0

10.5 $0 $0 $0 $0 $0 $0 $0 $0 $0 $0 $0

Total $6,657 $4,256 $7,392 $3,692 $7,320 $6,646 $1,908 $3,538 $3,708 $5,191 $4,209

자료: 디스플레이서치, 하나대투증권

Page 13: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

13

표 10. 글로벌 디스플레이 장비 시장 규모 추이 및 전망 (디스플레이 전체 공정 기준) (단위: USDmn)

2006 2007 2008 2009 2010 2011 2012 2013 2014 2015F 2016F

시장규모 Array 6,657 4,256 7,392 3,692 7,320 6,646 1,908 3,538 3,708 5,191 4,209

Cell 2,582 1,649 2,800 1,426 2,578 2,031 425 941 1,205 1,502 1,225

Module 729 418 704 362 610 513 100 215 291 326 336

CF 2,610 1,748 2,905 1,515 2,709 2,114 396 697 1,147 1,369 1,181

AMOLED 130 134 138 86 657 731 513 715 1,115 692 1,485

Total 12,708 8,205 13,939 7,082 13,873 12,036 3,342 6,105 7,466 9,080 8,437

YoY Array -36% 74% -50% 98% -9% -71% 85% 5% 40% -19%

Cell -36% 70% -49% 81% -21% -79% 121% 28% 25% -18%

Module -43% 68% -49% 68% -16% -81% 115% 36% 12% 3%

CF -33% 66% -48% 79% -22% -81% 76% 65% 19% -14%

AMOLED 3% 3% -38% 665% 11% -30% 39% 56% -38% 115%

Total -35% 70% -49% 96% -13% -72% 83% 22% 22% -7%

자료: 디스플레이서치, 하나대투증권

과거 국내 디스플레이 장비 업체들은 국내 패널 업체들에 대한 의존도가 높았다. 하지만, 최

근에는 신규 라인 증설을 확대하는 중국 패널 업체들에 대한 의존도가 증가하고 있다.

LCD 시장의 성숙기에 따라 국내 패널업체들은 신규 라인 투자를 축소하고 있는 반면, 중국

은 LCD 패널 자급률 확대정책에 따라 대규모 신규 라인 투자를 지속 단행하고 있기 때문이

다.

표 11. 국내 장비업체들의 국가별 의존도 (단위: 대)

2005 2006 2007 2008 2009 2010 2011 2012 2013

중국 20 2 5 35 20 65 102 15 96

일본 1 5 6 2 3 4 0 0 0

한국 61 71 43 133 56 186 141 39 60

대만 73 59 26 54 28 34 14 11 0

합계 155 137 80 224 107 289 257 65 156

중국 13% 1% 6% 16% 19% 22% 40% 23% 62%

일본 1% 4% 8% 1% 3% 1% 0% 0% 0%

한국 39% 52% 54% 59% 52% 64% 55% 60% 38%

대만 47% 43% 33% 24% 26% 12% 5% 17% 0%

합계 100% 100% 100% 100% 100% 100% 100% 100% 100%

자료: 하나대투증권

Page 14: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

14

2) 중국의 디스플레이 산업 지원 정책

중국은 일본, 한국, 대만과 비교해 디스플레이 시장에 가장 늦게 참여한 후발 생산국이다.

그러나 정부의 강력한 지원책을 등에 업은 BOE 같은 현지 업체들은 거대 내수 시장을 기반

으로 가파르게 성장하고 있다.

중국 정부는 2009년 “전자정보산업 조정 및 진흥계획”, 2012년 “제 12차 5개년 계획” 등을

통해 디스플레이 산업 육성 방침을 표명하고 각종 지원 정책을 시행해왔다. 큰 목표는 LCD

패널 자급률을 2014년 60%, 2015년 80%로 끌어올리겠다는 것이다. 이를 위해 중국 정부

는 자국 기업이 패널 공장을 증설할 시 지방 정부가 공동투자 방식으로 자금을 지원하도록

도왔다.

BOE의 베이징 B4는 50%, 허페이 B5는 58%, CSOT 선전 공장은 30%의 자금을 지방 정부

로부터 지원받았다. 이들 중국 패널 업체들은 막대한 적자에도 불구, 정부의 지원으로 대규

모 8세대 공장을 지을 수 있었던 것이다. 중국 정부는 자금 지원 외에도 패널 업체의 법인세

인하(25%→15%), 32인치 이상 LCD 관세 인상(3%→5%), 핵심 부품 관세 인상(편광판 4%

→6%) 등의 정책을 추진하며 자국 기업을 끌어주고 밀어주고 있다.

지난해 10월 16일 중국 국가발전개혁위원회는 공신부와 공동으로 “2014~2016년 신형 디

스플레이 산업 발전계획”을 발표했다. 이는 이전에 시행해왔던 지원책의 업그레이드 버전으

로 규모 면에서 급속한 성장을 이룬 자국 디스플레이 산업의 명확한 질적 향상을 도모하겠

다는 것이 이번 계획의 주요 골자이다. 이런 지원책을 통해 중국은 2016년까지 디스플레이

면적기준 출하량 세계 2위, 전 세계 점유율 20% 이상을 달성해야 한다는 목표치를 제시했

다.

표 12. 중국 2014~2016 신형 디스플레이산업 발전행동 계획 주요 내용

발전목표 면적기준 출하량 세계 2위

전 세계 점유율 20% 이상

산업 총 규모 3000억위안 이상

역량 강화 LTPS/옥사이드 TFT LCD 양산 및 생산량 연 500만m2

AM OLED 양산 및 생산량 연 40만m2로 확대

질적 성장 2개 기업 선정, 집중 육성

판매액 300억위안 초과

생산규모 세계 6위권 내 진입

국제 합작 강화 국내기업 합병, 해외기업 지분확보 등 다양한 합작 지지

국내외 기업 합작 연구센터 건립 등 공동 기술 연구지지

국외 설비 및 재료관련 기업의 중국 내 투자건설 지지

해외 고급인재의 중국 내 창업 지지

장비 재료 강화 40% 장비종류의 40%를 내재화

80% 재료종류의 80%를 내재화

60% 중소형 LCD 제조재료의 60%를 내재화

30% 대형 LCD 및 AM OLED 제조 재료의 30%를 내재화

자료: 하나대투증권

Page 15: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

15

3) 국내 장비업체들의 현실

국내 디스플레이 장비업체들은 초기 진입이 비교적 쉬운 저부가가치 장비 위주로 성장하여

글로벌 시장에서 높은 점유율을 확보하고 있어도 매출 및 영업이익 측면에서는 일본, 미국에

기업들 대비 현저하게 낮은 상황이다. 이는 노광기, 식각기 등 고부가가치 장비들의 대부분

을 일본 업체들이 점유하고 있어, 국내 및 중국 패널 기업에서 핵심장비에 대해서는 일본 장

비 업체들의 의존도가 높기 때문이다.

표 13. 국내 디스플레이 장비 업체들의 세계시장 점유율

2005 2006 2007 2008 2009 2010 2011 2012 2013

수량 기준 유럽 10% 9% 7% 11% 9% 12% 11% 10% 11%

일본 59% 61% 57% 48% 51% 43% 45% 61% 49%

한국 12% 9% 10% 15% 15% 21% 21% 15% 22%

미국 9% 9% 10% 10% 10% 10% 9% 8% 9%

기타 10% 12% 16% 16% 15% 14% 14% 6% 9%

금액 기준 유럽 4% 3% 2% 3% 2% 3% 3% 2% 3%

일본 72% 74% 71% 68% 72% 66% 69% 79% 74%

한국 6% 4% 4% 5% 5% 9% 9% 4% 6%

미국 13% 14% 15% 16% 15% 15% 12% 12% 12%

기타 5% 5% 8% 8% 6% 7% 7% 3% 5%

자료: 하나대투증권

그림 15. 장비별 평균 가격 (2013년 기준)

7.0

9.9

14.1

4.7

6.5

4.7

1.4 1.60.8

5.8 6.2

0.0

3.0

6.0

9.0

12.0

15.0

PVD CVD Exposure Coater Dry

Ethch

Dry Strip Wet Etch Wet

Strip

Wet

Clean

ELA Ion

Implant

(단위: USDmn)

자료: 하나대투증권

다만, 디스플레이 설비 투자가 기존 a-Si 중심에서 Oxide 및 LTPS 기술 중심으로 진행되

고 대형 OLED 및 플렉시블 투자가 진행되는 가운데 국내 장비업체들은 지속적인 기술개발

을 통해 국내 삼성디스플레이와 LG디스플레이로 공급을 시작하면서 기술적인 측면에서 개

선되는 모습을 보이고 있다.

2015년부터 AM OLED를 중심으로 중국 및 국내 패널업체들의 투자가 지속될 것인 만큼

국내 디스플레이 장비 업체들의 차별화된 실적 개선이 나타날 것으로 전망된다.

Page 16: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

16

표 14. 기술별 투자 규모 비중 추이 및 전망

Year a-Si a-Si/Oxide LTPS

2006 90.0% 0.0% 10.0%

2007 91.3% 0.0% 8.7%

2008 95.8% 0.0% 4.2%

2009 92.1% 0.0% 7.9%

2010 94.7% 0.0% 5.3%

2011 52.7% 13.9% 33.4%

2012 25.4% 27.0% 47.6%

2013 23.8% 13.0% 63.2%

2014 53.0% 26.1% 20.8%

2015F 50.3% 3.3% 46.4%

2016F 22.9% 18.6% 58.5%

자료: 하나대투증권

표 15. 디스플레이 장비 업체들의 Valuation 검토

Name 에스에프에이 AP시스템 테라세미콘 비아트론 평균

Nation KR KS KS KS

주가 (원) 48,450 9,080 20,550 15,350

시가총액 869.8 212.5 205.8 166.6

매출액 2014 415.1 175.4 71.6 32.8

2015F 524.7 277.6 131.7 72.8

2016F 636.1 327.9 184.8 95.9

영업이익 2014 45.7 3.7 4.8 0.8

2015F 60.0 23.7 20.8 15.3

2016F 82.5 31.0 32.5 22.3

순이익 2014 41.3 1.0 3.0 2.0

2015F 53.9 19.7 17.7 14.3

2016F 74.0 27.4 27.1 20.8

EPS (원) 2014 2,301.0 55.0 314.0 184.0

2015F 3,002.0 842.0 1,763.0 1,315.0

2016F 4,121.0 1,172.0 2,705.0 1,916.0

PER (x) 2014 21.6 111.1 61.5 54.2 62.1

2015F 16.1 10.8 11.7 11.7 12.6

2016F 11.8 7.7 7.6 8.0 8.8

BPS (원) 2014 26,594.0 4,185.0 6,151.0 5,362.0

2015F 29,175.4 4,995.4 7,973.5 6,598.0

2016F 32,464.1 6,112.0 10,735.5 8,564.0

PBR (x) 2014 1.9 1.5 3.1 1.9 2.1

2015F 1.7 1.8 2.6 2.3 2.1

2016F 1.5 1.5 1.9 1.8 1.7

주: 모든 추정치는 컨센서스 기준

자료: FnGuide, 하나대투증권

Page 17: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

17

4) AM OLED vs. 초대형 LCD

경쟁사의 초대형 LCD 라인 투자 시장이 우려하는 것 중에 하나는 초대형 LCD 라인 투자이다. BOE는 10.5세대를 2017년

하반기 혹은 2018년 상반기에 양산할 것으로 예상된다. 전체 Capa는 월 9만장이며 전체 투

자금액 400억위안(한화 7조원) 중에 BOE는 40억위안, 중앙정부가 180억위안, 허페이시 인

민정부가 180억위안을 조달할 것으로 알려졌다.

BOE의 10.5세대는 TV 65인치와 43인치가 주력 생산 제품이 될 것이다. 65인치 기준 면취

수는 8장으로 월 9만장 Capa를 모두 65인치로 생산할 경우 월 65만대(연간 780만대)의 패

널이 생산될 수 있는 규모이다. 디스플레이서치는 2018년 60인치 이상 TV 시장 수요를

11.9백만대로 예상하고 있으므로 단순 계산으로는 BOE 10.5세대에서 양산되는 65인치가

글로벌 60인치 이상 TV 시장에서 약 66%의 점유율을 차지할 수 있다는 의미이다(글로벌

LCD 공급면적의 약 3%).

BOE의 10.5세대 투자 의사 결정으로 우려되는 것은 한국의 삼성디스플레이와 LG디스플레

이가 동반 투자하지 않을까 하는 것인데, 일단 LG디스플레이는 AM OLED로 투자의 방향

을 정한 것으로 보여지며, 삼성디스플레이는 초대형 LCD 라인에 대한 투자를 검토 중인 것

으로 파악된다.

그림 16. 신규 라인 증설 추이 및 영향

BOE Gen 10.5 investment details

Sharp Gen 10 vs. BOE Gen 10.5

Sharp Gen 10 BOE Gen 10.5 Remarks

X Side (mm) 3130 3370 Before: 3340

Y Side (mm) 2880 2940

Capacity 72K/M 90K/M Long term 120K/M

Tech. VA IPS Will produce curvedTV

MP Timing Q3’09 Q3’17

Technology a-Sia-Sioxide

EconomicalPanel Cut

32’, 40’ 60’, 70’, 80’

42’/43’, 65’, 74’61’(21:9)70’(21:9)

Economical cut and glass efficiency by size

Panel Size Economical Cut Glass Efficiency

42’/43’ 18 98%

48’ 12 82%

65’ 8 96%

75’ 6 95%

61’(21:9) 10 93%

70’(21:9) 8 91%

2940mm

2880mm

3130

mm

3370

mm

자료: 디스플레이서치, 하나대투증권

Page 18: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

18

국내 패널업체의 초대형 LCD 라인

투자에 대한 의사결정은 쉽지 않아

하나대투증권은 삼성디스플레이가 10.5세대급의 초대형 LCD 라인에 대한 투자 의사 결정

은 쉽지 않을 것으로 예상한다. 왜냐하면 AM OLED에 대한 투자도 병행해야 할 가능성이

있는데, 만약 병행 투자시 투자 금액이 어마어마할 것이며 효율적인 투자라고 보기 어렵기

때문이다.

그렇다면 AM OLED에 대한 투자 방향성이 중요해 질 것이다. 이미 LG디스플레이는 2015

년 60만대, 2016년 1.5백만대의 AM OLED TV를 양산하겠다고 언급했다. UHD TV 패널

기준 수율도 40%를 상회하며 안정적으로 개선되고 있고, 늦어도 3분기말경에서 양산 수율

이 확보될 것으로 예상한다.

삼성디스플레이의 AM OLED에 대한 투자 방향은 우선 중소형 패널은 향후 플렉서블 디스

플레이에 집중될 것이다. 대형 TV와 관련된 기술적인 방향성이 검토 중인 것으로 파악되며

늦어도 2016년 상반기에는 어떤 기술을 선택할 것인지 의사결정이 이루어질 전망이다.

디스플레이 패널업체들의 투자의 방향성이 개별 업체의 특수성에 따라 차별화될 수 있으나,

중국 업체들은 당분간 8세대 이상의 LCD 라인이 중심일 것이고 한국 업체들은 AM OLED

라인 투자가 중심이 될 것이다.

표 16. AM OLED 장비 업체 Supply chain

구분 방식 세부공정 LG디스플레이 삼성디스플레이

Backplane

LTPS

CVD AKT AKT, Ulvac

Dry Etcher TEL, LIG인베니아 TEL, YAC, 아이씨디

ELA JSW AP System

열처리 비아트론 테라세미콘

Oxide

CVD AKT

Sputter Ulvac, 아바코

Dry Etcher TEL, LIG인베니아 TEL, 아이씨디, 원익IPS

열처리 비아트론 테라세미콘

OLED WOLED

증착 Cannon, YAS, LIG인베니아 Cannon

Source YAS

RGB 증착 Cannon Cannon, Hitachi

Encap

Frit Hitachi, 아바코 AP System, LTS, Hitachi

Face Seal LGE, 아바코, 주성엔지니어링

Thin Film 주성엔지니어링 SNU(유기), Ulvac(무기)

Plastic 합작 LGE, LIG인베니아 테라세미콘

Plastic PI Curing AP System, LTS

LLO

자료: 하나대투증권

Page 19: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

19

3. 투자전략

1) 반도체 장비, 투자의견 Overweight 제시

반도체 장비 산업, 투자의견

Overweight 제시

하나대투증권은 반도체 장비산업에 대해 투자의견 Overweight를 제시한다. 2015년 글로벌

반도체 업체들의 Capex 규모는 $672억(+4%YoY)로 2014년에 이어 성장세를 지속할 것이

기 때문이다. 이 중 전체 반도체 시장 내 28%에 해당하는 국내 반도체 업체들의 Capex 규

모는 $187억으로 2014년 Capex 대비 4% 증가하며 국내 장비산업 Cycle을 지속 이끌 전망

이다.

2015년 삼성전자는 17라인과 중국 시안 공장을 중심으로 메모리와 비메모리 부문의 지속적

인 투자를 집행할 예정이며, 2016년부터는 평택 신규 라인을 중심으로 사상 최대규모의 설

비투자를 계획하고 있다. SK하이닉스 또한 2015년 하반기부터 3D NAND의 본격적인 투자

가 기대된다.

삼성전자의 평택 반도체 라인은 약 120만평 규모로 조성되어 삼성전자가 진행해온 국내외

생산라인 투자 중 사상 최대가 될 전망이다. 이는 현재 수원 사업장(약 50만평) 대비 약 2.4

배에 달하는 면적으로 삼성전자는 이곳에 100조원 이상을 투자해 차세대 반도체 생산시설을

조성할 계획이다. 현 시점에서 평택 반도체 단지가 메모리 반도체 라인으로 조성될 것이냐

비메모리 반도체 라인으로 조성될 것이냐는 확정되지 않은 상태이나, 분명한 것은 삼성전자

는 기존 메모리 반도체는 물론 비메모리 반도체의 경쟁력을 크게 신장하며 새로운 퀀텀점프

를 시도하고 있어 국내 장비 업체들에게는 새로운 기회가 될 전망이라는 점이다.

표 17. 글로벌 반도체 업체들의 Capex 추이 및 전망 (단위: USDmn)

09 10 11 12 13 14 15F

Capex Samsung 3,900 11,000 11,700 12,100 11,500 13,578 14,000

Intel 4,500 5,207 10,764 11,027 10,711 10,105 9,500

TSMC Group 2,671 5,936 7,300 8,300 9,688 9,500 11,000

SK Hynix 784 2,943 3,000 3,420 2,929 4,560 4,700

Globalfoundries 550 2,750 4,900 3,800 4,000 3,500 4,000

Micron Technology 500 1,255 3,000 1,700 1,835 3,100 3,800

Toshiba 911 1,868 1,560 904 1,476 1,960 1,485

United Microelectronics Group 551 1,800 1,800 1,750 1,100 1,400 1,800

SanDisk 370 1,052 1,368 979 859 1,150 1,400

Advanced Semiconductor Engineering 387 1,100 727 1,007 911 1,054 905

Others 10,752 21,244 20,531 13,756 12,831 14,634 14,586

Total Worldwide Capital Spending 25,876 56,154 66,650 58,743 57,840 64,542 67,176

YoY Samsung 182% 6% 3% -5% 18% 3%

Intel 16% 107% 2% -3% -6% -6%

TSMC Group 122% 23% 14% 17% -2% 16%

SK Hynix 275% 2% 14% -14% 56% 3%

Globalfoundries 400% 78% -22% 5% -13% 14%

Micron Technology 151% 139% -43% 8% 69% 23%

Toshiba 105% -16% -42% 63% 33% -24%

United Microelectronics Group 227% 0% -3% -37% 27% 29%

SanDisk 184% 30% -28% -12% 34% 22%

Advanced Semiconductor Engineering 184% -34% 39% -9% 16% -14%

Others 98% -3% -33% -7% 14% 0%

Total Worldwide Capital Spending 117% 19% -12% -2% 12% 4%

자료: Gartner, 하나대투증권

Page 20: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

20

2) 디스플레이 장비는 선택적인 투자 필요, 투자의견 Neutral 제시

디스플레이 장비 업체들에는

선택적인 투자가 필요. 투자의견

Neutral 제시

디스플레이 시장의 CRT가 처음 발명된 이후 현재의 OLED 디스플레이까지 다양한 개념의

디스플레이가 출시되었다. 각각의 기술들은 장단점들을 보유하고 있고, 각각의 장단점들로

인해 유용하게 사용될 수 있는 응용 분야가 다르다고 할 수 있다.

국내 패널업체들은 지난 10여년 동안 뛰어난 기술력과 생산력을 바탕으로 글로벌 시장 내

선두 자리를 고수해왔고, 아직까지도 경쟁력을 유지하고 있으나 최근에는 중국 업체들의 공

격적인 투자 계획으로 인해 경쟁력에 있어 위협을 받고 있다. 중국 패널업체들은 정부의 전

폭적인 지원아래 디스플레이 시장 내 경쟁력을 강화하고 있다. 대표적인 정책이 2006년부터

시작된 중국 제 11차 5개년 계획과 2011년부터 시작된 제 12차 5개년 계획이다.

국내 패널 업체들의 투자가 제한적인 가운데 15년부터 시작되는 중국 패널업체들의 투자는

국내 장비 업체들의 기회에 땅이 될 것이다. 국내 장비업체들은 기존 삼성디스플레이 및 LG

디스플레이에 공급했던 경험을 바탕으로 ①제품 경쟁력, ②신속한 A/S 대응 등을 통해 중

국 시장 내 경쟁력을 강화해 나갈 전망이나, 최근 엔저 영향에 따른 일본 업체들과의 경쟁

심화로 불확실성이 증가하고 있는 만큼 관련 장비업체들에 대해서는 선별적인 투자가 필요

하다고 판단한다.

하나대투증권은 디스플레이 장비 산업에 대해 투자의견 Neutral를 제시하며 중국 패널업체

투자에 따른 수혜를 받을 수 있고 대형 OLED 투자 시 수혜를 받을 수 있는 종목에 한해서

선택적인 투자를 할 것을 권고한다.

표 18. 중국 패널업체들의 주요 Fab별 Capa 확대 계획 : 6세대 AM OLED LTPS 라인과 8세대 LCD 라인 투자에 집중

Manufacturer Factory Application Tech. Gen. 1Q15 2Q15 3Q15 4Q15 1Q16 2Q16 3Q16 4Q16

BOE B3 LCD a-Si 6 0 0 0 0 0 0 0 0

B5 LCD + AMOLED a-Si/Oxide 8 0 0 0 0 0 0 0 0

LCD a-Si 8 7 0 0 0 0 0 0 0

B6 LTPS LCD + AMOLED LTPS 5.5 8 4 0 5 0 0 0 0

LCD + AMOLED LTPS 5.5 0 0 0 0 0 0 0 0

B7 Chengdu LTPS LCD + AMOLED LTPS 6 0 0 0 0 0 0 0 0

B8 LCD a-Si 8 0 0 90 0 0 0 0 0

LCD a-Si 8 0 0 0 0 20 10 0 0

LCD Oxide 8 0 0 0 0 0 15 15 0

B9 LCD a-Si/Oxide 10.5 0 0 0 0 0 0 0 0

B10 LCD a-Si/Oxide 8 0 0 0 0 0 0 0 0

Tianma TNM Xiamen 2 LTPS LCD LTPS 6 0 0 0 0 0 0 5 10

TNM Xiamen LTPS LCD LTPS 5.5 0 0 0 0 0 0 0 0

LCD + AMOLED LTPS 5.5 5 0 0 0 0 0 0 0

TNM Wuhan LTPS LCD + AMOLED LTPS 6 0 0 0 0 0 0 0 0

CSOT Shenzhen T1 LCD a-Si 8 1 1 1 0 0 0 0 0

LCD a-Si 8 0 0 0 0 0 0 0 0

Shenzhen T2 LCD a-Si 8 0 40 20 0 0 0 0 0

LCD + AMOLED a-Si/Oxide 8 0 0 0 0 0 10 10 10

Wuhan LTPS T3 LCD + AMOLED LTPS 6 0 0 0 0 0 0 0 2

LCD + AMOLED LTPS 6 0 0 0 0 0 0 0 0

T4 LCD + AMOLED a-Si/Oxide 8 0 0 0 0 0 0 0 0

Shenzhen G4 R&D LCD + AMOLED LTPS 4 0 0 0 0 0 0 0 0

Truly Huizhou SEC L4 LTPS LCD + AMOLED LTPS 4 0 0 0 0 0 10 5 10

Visionox VSX Kunshan LTPS AMOLED LTPS 5.5 0 0 3 3 6 3 0 0

AMOLED LTPS 5.5 0 0 0 0 0 0 0 15

Ever Display Ever Display 1 LTPS AMOLED LTPS 4 0 0 0 9 9 0 0 0

Ever Display 2 LTPS AMOLED LTPS 6 0 0 0 0 0 0 0 0

자료: 디스플레이서치, 하나대투증권

Page 21: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

21

3) Top Picks: 원익IPS, 테스, 비아트론

반도체/디스플레이 장비 업체들 중

Top Picks로 원익IPS, 테스, 비아트론

제시.

관심종목으로 유니테스트, 테크윙

제시

하나대투증권은 반도체/디스플레이 장비 업체들 중 Top Picks로 원익IPS, 테스, 비아트론을

제시한다. 관심 종목으로는 유니테스트, 테크윙 등을 제시한다.

원익IPS는 삼성전자의 화성, 시안, 평택 투자에 따른 최대 수혜주로 부각될 전망이며, 기존

ARC, TEOS 프리커서로 만들어진 절연막 증착용 PECVD 외 신규 장비 공급에 다른 라인

업 확대로 실적 안정성이 더해질 전망이다. 메모리 산업의 과점시장이 도래되기 전까지는 전

방 업체들의 투자가 지속될 수 밖에 없는 가운데 삼성전자라는 최대의 고객사를 확보하고

있는 원익IPS는 향후 수주 모멘텀이 가장 확실히 되는 국내 장비 업체라고 할 수 있다.

테스는 전방 업체들의 3D NAND에 대한 방향성이 변함이 없으며, 3분기부터 수주 모멘텀이

확대될 전망이므로 현 시점에서는 BUY & Holding 전략이 적절할 것으로 판단된다. Gas

Etching 장비 또한 신규 고객 확보를 추진하고 있어, 제품 인증 완료 시 또 다른 성장 모멘

텀으로 작용할 전망이다.

비아트론은 전방 업체들의 LTPS 투자 확대에 따른 열처리 장비 수주 확대로 인해 차별화된

실적 개선을 나타낼 것으로 전망된다. In-line 열처리 장비의 시장 점유율이 독보적인 가운

데 전방 업체들의 LTPS 관련 투자 Cycle은 내년에도 지속될 가능성이 높기 때문에 디스플

레이 관련 장비 업체들 중 최선호주로 제시한다.

에스에프에이는 안정적인 재무기반을 바탕으로 15년부터는 일반물류 부문의 수주 확대로 인

해 실적 안정성이 더해질 전망이며, AP시스템는 중국 디스플레이 업체들의 LTPS 투자 확대

에 따른 ELA 장비군에 수주 확대로 실적 개선이 나타날 것으로 예상되기 때문에 디스플레

이 장비 업종 내 차선호주로 제시한다.

이 외 반도체/디스플레이 장비 업체들 중 유니테스트와 테크윙을 관심종목으로 제시한다. 유

니테스트는 DDR4 시장 확대에 따른 DDR4 스피드 테스터 장비 수주 본격화가 예상되며 고

속 Burn-in 장비 또한 주요 고객사의 신규 라인 증설에 따른 수혜가 예상된다. 테크윙은

기존 메모리향 핸들러에서 비메모리향 시장 진입에 따른 실적 성장이 본격화될 전망이다.

Page 22: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

22

표 19. 하나대투증권 커버리지 반도체/디스플레이 장비 업체들의 실적 전망 (단위: 십억원)

1Q15 2Q15F 3Q15F 4Q15F 1Q16F 2Q16F 3Q16F 4Q16F 2015F 2016F

원익IPS

매출액 155.4 106.5 208.0 188.1 155.9 161.0 217.5 236.0 658.0 770.4

영업이익 29.1 12.5 39.5 33.9 24.9 28.1 42.7 47.2 115.0 142.9

영업이익률 19% 12% 19% 18% 16% 17% 20% 20% 17% 19%

순이익 22.4 9.5 29.5 25.3 19.3 21.4 32.1 35.8 86.7 108.6

PER 14.3 11.4

PBR 2.4 2.0

테스

매출액 26.9 24.6 40.0 36.4 27.7 29.7 41.1 44.4 127.8 143.0

영업이익 3.7 3.2 8.0 6.9 5.1 5.2 7.7 8.6 21.8 26.6

영업이익률 14% 13% 20% 19% 19% 17% 19% 19% 17% 19%

순이익 4.0 3.4 7.0 8.9 6.0 5.1 7.0 7.1 23.4 25.1

PER 9.3 8.8

PBR 1.9 1.6

비아트론

매출액 1.3 24.1 16.1 30.6 32.4 30.9 29.5 25.7 72.1 118.5

영업이익 -2.6 6.3 3.4 9.2 8.3 7.9 7.2 6.5 16.2 29.9

영업이익률 -203% 26% 21% 30% 26% 26% 24% 25% 23% 25%

순이익 -1.9 5.1 2.9 7.4 6.7 6.4 5.8 5.3 13.5 24.2

PER 12.1 7.2

PBR 2.2 1.7

에스에프에이

매출액 87.0 107.8 149.3 172.9 135.9 143.3 153.2 165.0 517.0 597.3

영업이익 2.5 11.6 17.9 25.9 11.7 13.8 19.3 23.9 57.9 68.7

영업이익률 3% 11% 12% 15% 9% 10% 13% 14% 11% 12%

순이익 3.2 10.7 15.5 21.7 10.9 12.6 17.0 19.5 51.1 60.1

PER 17.1 14.6

PBR 1.7 1.5

AP시스템

매출액 79.7 48.1 56.1 56.8 65.8 82.3 93.6 81.5 240.7 323.1

영업이익 5.3 1.8 5.3 4.9 5.8 7.3 9.3 8.1 17.4 30.5

영업이익률 7% 4% 9% 9% 9% 9% 10% 10% 7% 9%

순이익 3.3 1.2 4.3 3.9 4.2 5.7 7.5 6.4 12.6 23.9

PER 14.9 7.7

PBR 1.6 1.3

케이씨텍

매출액 84.9 111.6 123.7 96.0 97.7 86.6 107.2 137.1 416.2 428.6

영업이익 8.5 11.2 13.6 11.5 10.7 9.2 11.5 16.5 44.8 47.9

영업이익률 10% 10% 11% 12% 11% 11% 11% 12% 11% 11%

순이익 7.3 8.9 10.9 8.8 9.0 7.4 9.4 12.7 35.9 38.5

PER 11.1 10.3

PBR 1.2 1.0

자료: 하나대투증권

Page 23: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

23

그림 17. 원익IPS 매출액과 영업이익률 추이 및 전망 그림 18. 원익IPS 영업이익과 주가 추이

0%

5%

10%

15%

20%

25%

0.0

50.0

100.0

150.0

200.0

250.0

1Q14 3Q14 1Q15 3Q15F 1Q16F 3Q16F

매출액(좌) OPM(우)(십억원)

0

3,000

6,000

9,000

12,000

15,000

18,000

-10.0

0.0

10.0

20.0

30.0

40.0

50.0

1Q12 3Q12 1Q13 3Q13 1Q14 3Q14 1Q15 3Q15F

영업이익(좌) 주가(우)(십억원) (원)

자료: 하나대투증권 자료: 하나대투증권

그림 19. 테스 매출액과 영업이익률 추이 및 전망 그림 20. 테스 영업이익과 주가 추이

-10%

-5%

0%

5%

10%

15%

20%

25%

0.0

10.0

20.0

30.0

40.0

50.0

60.0

1Q14 3Q14 1Q15 3Q15F 1Q16F 3Q16F

매출액(좌) OPM(우)(십억원)

0

4,000

8,000

12,000

16,000

20,000

24,000

-8.0

-4.0

0.0

4.0

8.0

12.0

16.0

1Q12 3Q12 1Q13 3Q13 1Q14 3Q14 1Q15 3Q15F

영업이익(좌) 주가(우)(십억원) (원)

자료: 하나대투증권 자료: 하나대투증권

그림 21. 비아트론 매출액과 영업이익률 추이 및 전망 그림 22. 비아트론 영업이익과 주가 추이

0%

5%

10%

15%

20%

25%

30%

35%

0.0

5.0

10.0

15.0

20.0

25.0

30.0

35.0

1Q14 3Q14 1Q15 3Q15F 1Q16F 3Q16F

매출액(좌) OPM(우)(십억원)

0

4,000

8,000

12,000

16,000

20,000

24,000

-4.0

0.0

4.0

8.0

12.0

1Q12 3Q12 1Q13 3Q13 1Q14 3Q14 1Q15 3Q15F

영업이익(좌) 주가(우)(십억원) (원)

주: 4Q14-1Q15 영업적자폭 확대로 인해 영업이익률 미표시

자료: 하나대투증권

자료: 하나대투증권

Page 24: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

24

그림 23. 에스에프에이 매출액과 영업이익률 추이 및 전망 그림 24. 에스에프에이 영업이익과 주가 추이

0%

2%

4%

6%

8%

10%

12%

14%

16%

18%

0.0

40.0

80.0

120.0

160.0

200.0

1Q14 3Q14 1Q15 3Q15F 1Q16F 3Q16F

매출액(좌) OPM(우)(십억원)

30,000

35,000

40,000

45,000

50,000

55,000

60,000

65,000

70,000

0.0

6.0

12.0

18.0

24.0

30.0

36.0

1Q12 3Q12 1Q13 3Q13 1Q14 3Q14 1Q15 3Q15F

영업이익(좌) 주가(우)(십억원) (원)

자료: 하나대투증권 자료: 하나대투증권

그림 25. AP시스템 매출액과 영업이익률 추이 및 전망 그림 26. AP시스템 영업이익과 주가 추이

0%

2%

4%

6%

8%

10%

12%

0.0

20.0

40.0

60.0

80.0

100.0

1Q14 3Q14 1Q15 3Q15F 1Q16F 3Q16F

매출액(좌) OPM(우)(십억원)

0

2,000

4,000

6,000

8,000

10,000

12,000

14,000

16,000

-3.0

0.0

3.0

6.0

9.0

12.0

1Q12 3Q12 1Q13 3Q13 1Q14 3Q14 1Q15 3Q15F

영업이익(좌) 주가(우)(십억원) (원)

자료:, 하나대투증권 자료: 하나대투증권

그림 27. 케이씨텍 매출액과 영업이익률 추이 및 전망 그림 28. 케이씨텍 영업이익과 주가 추이

0%

2%

4%

6%

8%

10%

12%

14%

16%

0.0

40.0

80.0

120.0

160.0

1Q14 3Q14 1Q15 3Q15F 1Q16F 3Q16F

매출액(좌) OPM(우)(십억원)

0

2,000

4,000

6,000

8,000

10,000

12,000

14,000

16,000

-6.0

-3.0

0.0

3.0

6.0

9.0

12.0

15.0

18.0

1Q12 3Q12 1Q13 3Q13 1Q14 3Q14 1Q15 3Q15F

영업이익(좌) 주가(우)(십억원) (원)

자료:하나대투증권 자료: 하나대투증권

Page 25: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

25

반도체/디스플레이 장비

Overweight

기업 분석

원익IPS (030530) 26

테스 (095610) 29

비아트론 (141000) 32

에스에프에이 (056190) 35

AP시스템 (054620) 37

유니테스트 (083310) 40

테크윙 (068790) 42

Page 26: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

26

2015년 7월 1일

원익IPS(030530)

Equity Research

Top Pick

확대되는 경쟁력

BUY

I TP(12M): 18,000원 I CP(6월30일): 14,200원

Key Data Consensus Data

KOSDAQ 지수(pt) 742.27 2015 2016

52주최고/최저(원) 16,150/9,990 매출액(십억원) 668.6 773.8

시가총액(십억원) 1,143.1 영업이익(십억원) 121.9 146.3

시가총액비중(%) 0.56 순이익(십억원) 90.7 110.4

발행주식수(천주) 80,500.6 EPS(원) 1,001 1,228

60일 평균거래량(천주) 1,795.6 BPS(원) 5,943 7,278

60일 평균거래대금(십억원) 24

15년 배당금(예상,원) 50 Stock Price

15년 배당수익률(예상,%) 0.39

40

60

80

100

120

140

9

10

11

12

13

14

15

16

17

14.6 14.9 14.12 15.3 15.6

(천원)원익IPS(좌)

상대지수(우)

외국인 지분율(%) 24.20

주요주주 지분율(%)

원익 외 12인 23.61

주가상승률 1M 6M 12M

절대 (2.4) 1.8 22.4

상대 (6.5) (25.5) (11.4)

Financial Data

투자지표 단위 2013 2014 2015F 2016F 2017F

매출액 십억원 423.0 557.2 658.0 770.3 847.4

영업이익 십억원 55.1 91.9 115.0 143.0 158.7

세전이익 십억원 52.4 76.8 118.0 148.5 166.7

순이익 십억원 27.4 46.8 77.0 96.6 109.1

EPS 원 373 581 957 1,200 1,356

증감율 % 245.37 55.76 64.72 25.39 13.00

PER 배 22.98 24.00 14.32 11.42 10.10

PBR 배 2.02 2.96 2.42 2.00 1.67

EV/EBITDA 배 9.03 10.18 7.55 5.73 4.84

ROE % 9.33 13.82 19.46 20.00 18.63

BPS 원 4,248 4,706 5,665 6,865 8,220

DPS 원 0 0 50 50 50

Analyst 이원식

02-3771-7721

[email protected]

Analyst 남대종

02-3771-7775

[email protected]

투자의견 BUY, 목표주가 18,000원 제시

원익IPS는 삼성전자의 화성, 시안, 평택 투자에 따른 최대 수

혜주로 부각될 전망이며, 기존 ARC, TEOS 프리커서로 만들

어진 절연막 증착용 PECVD 외 신규 장비 공급에 다른 라인업

확대로 실적 안정성이 더해질 전망임으로 투자의견 BUY, 목

표주가 18,000원으로 커버리지를 재개시한다.

목표주가 18,000원은 16년에 글로벌 반도체 장비 업체들과

국내 업체들의 16년 평균 PER 14배를 적용한 값이다. 원익

IPS는 국내 경쟁사들 대비 다양한 제품 라인업을 확보하고 있

고 고객사 내 점유율 또한 확대되고 있어 PER 14배 적용은

무리없다는 판단이다.

2분기 실적은 기대치 하회

15년 2분기 실적은 연결 기준 매출액 1,065억원(-31%QoQ),

영업이익 125억원(-57%QoQ)로 시장 컨센서스 영업이익 185

억원을 하회할 것으로 전망된다. 실적 악화의 주요 원인은 반

도체 부문의 매출 인식이 이월된 것으로 파악되기 때문이다.

다만, 2분기 실적은 분기 실적 저점을 형성할 것이며 3분기부

터는 주요 고객사의 3D NAND 투자 확대 및 중국 디스플레이

라인의 신규 투자 등이 본격화되며 매출 확대가 본격화 될 전

망이다. 하반기 실적은 매출액 3,961억원(+51%HoH), 영업이

익 734억원(+76%HoH)를 기록할 전망이다.

경쟁력을 의심하지 말자

메모리 산업의 과점시장이 도래되기 전까지는 전방 업체들의

투자가 지속될 수 밖에 없는 가운데 삼성전자라는 최대의 고객

사를 확보하고 있는 원익IPS는 향후 수주 모멘텀이 가장 확실

히 되는 국내 장비 업체라고 할 수 있다.

Page 27: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

27

표 1. 실적 추이 및 전망 (단위: 십억원)

1Q15 2Q15F 3Q15F 4Q15F 1Q16F 2Q16F 3Q16F 4Q16F 2015F 2016F

매출액 Semi 94.9 49.8 88.6 106.7 85.3 68.3 110.9 144.2 340.0 408.8

Display 0.5 0.2 58.9 10.1 0.5 13.4 22.1 10.5 69.7 46.5

Solar 0.1 0.3 0.4 0.5 0.3 0.3 0.4 0.4 1.2 1.4

TGS 21.6 15.5 21.2 26.8 27.7 34.2 41.2 32.5 85.1 135.6

합계 117.1 65.8 169.1 144.1 113.8 116.2 174.6 187.6 496.0 592.2

Growth -28% -44% 157% -15% -21% 2% 50% 7% 20% 19%

연결 합계 155.4 106.5 208.0 188.1 155.9 161.0 217.5 236.0 658.0 770.3

Growth -23% -31% 95% -10% -17% 3% 35% 9% 18% 17%

영업이익 29.1 12.5 39.5 33.9 31.0 32.0 43.7 48.5 115.0 155.1

영업이익률 19% 12% 19% 18% 20% 20% 20% 21% 17% 20%

Growth 54% -57% 208% -13% -7% 2% 36% 12% 25% 35%

순이익 22.4 9.5 29.5 25.3 23.8 24.2 32.8 36.8 86.7 117.7

순이익률 14% 9% 14% 13% 15% 15% 15% 16% 13% 15%

Growth 65% -58% 210% -14% -6% 2% 36% 12% 52% 36%

자료: 하나대투증권

그림 1. 매출액과 영업이익률 추이 및 전망 그림 2. 2015년 사업부별 매출 비중 전망

0%

5%

10%

15%

20%

25%

0.0

50.0

100.0

150.0

200.0

250.0

1Q15 2Q15F 3Q15F 4Q15F 1Q16F 2Q16F 3Q16F 4Q16F

매출액(좌) OPM(우)(십억원)

Semi

69%

Display

14%

Solar

0%

TGS

17%

자료:, 하나대투증권 자료: 하나대투증권

Page 28: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

28

추정 재무제표

손익계산서 (단위: 십억원) 대차대조표 (단위: 십억원)

2013 2014 2015F 2016F 2017F 2013 2014 2015F 2016F 2017F

매출액 423.0 557.2 658.0 770.3 847.4 유동자산 275.7 293.4 390.5 548.4 646.2

매출원가 284.6 360.5 432.9 491.6 540.8 금융자산 116.0 120.6 229.8 346.8 424.4

매출총이익 138.4 196.7 225.1 278.7 306.6 현금성자산 94.1 102.1 212.6 325.2 400.7

판관비 83.3 104.9 110.1 135.7 147.9 매출채권 등 59.4 86.5 80.5 101.0 111.1

영업이익 55.1 91.9 115.0 143.0 158.7 재고자산 92.8 77.4 72.0 90.3 99.4

금융손익 1.9 (7.5) 2.7 5.4 8.0 기타유동자산 7.5 8.9 8.2 10.3 11.3

종속/관계기업손익 0.0 0.0 (0.3) 0.0 0.0 비유동자산 314.5 365.6 345.2 352.1 348.2

기타영업외손익 (4.5) (7.6) 0.6 0.0 0.0 투자자산 82.4 111.1 103.3 129.7 142.6

세전이익 52.4 76.8 118.0 148.5 166.7 금융자산 31.6 38.3 35.6 44.7 49.2

법인세 15.1 19.7 31.2 39.7 43.8 유형자산 166.5 175.9 164.8 147.7 132.8

계속사업이익 37.4 57.1 86.7 108.8 122.9 무형자산 23.8 32.1 30.1 27.8 25.8

중단사업이익 0.0 0.0 0.0 0.0 0.0 기타비유동자산 41.8 46.5 47.0 46.9 47.0

당기순이익 37.4 57.1 86.7 108.8 122.9 자산총계 590.1 658.9 735.7 900.5 994.3

포괄이익 9.9 10.3 9.7 12.2 13.7 유동부채 131.2 161.7 152.5 178.1 172.1

(지분법제외)순이익 27.4 46.8 77.0 96.6 109.1 금융부채 21.4 35.7 37.6 37.8 19.2

지배주주표괄이익 28.2 44.4 74.8 95.3 107.6 매입채무 등 85.9 99.9 92.9 116.5 128.2

NOPAT 39.3 68.3 84.5 104.8 117.0 기타유동부채 23.9 26.1 22.0 23.8 24.7

EBITDA 72.2 113.4 137.2 162.4 175.6 비유동부채 55.2 41.5 39.0 44.6 47.4

성장성(%) 금융부채 34.9 17.8 16.9 16.9 16.9

매출액증가율 21.3 31.7 18.1 17.1 10.0 기타비유동부채 20.3 23.7 22.1 27.7 30.5

NOPAT증가율 88.0 73.8 23.7 24.0 11.6 부채총계 186.4 203.2 191.5 222.7 219.4

EBITDA증가율 85.6 57.1 21.0 18.4 8.1 지배주주지분 320.0 357.0 434.8 531.3 640.5

(조정)영업이익증가율 107.9 66.8 25.1 24.3 11.0 자본금 40.3 40.3 40.3 40.3 40.3

(지분법제외)순익증가율 246.8 70.8 64.5 25.5 12.9 자본잉여금 169.8 169.8 171.3 171.3 171.3

(지분법제외)EPS증가율 246.5 55.7 64.6 25.4 13.0 자본조정 (10.8) (18.3) (17.6) (17.6) (17.6)

수익성(%) 기타포괄이익누계 1.7 1.2 1.2 1.2 1.2

매출총이익률 32.7 35.3 34.2 36.2 36.2 이익잉여금 119.2 164.0 239.6 336.2 445.4

EBITDA이익률 17.1 20.4 20.9 21.1 20.7 비지배주주지분 83.7 98.7 108.5 120.7 134.4

(조정)영업이익률 13.0 16.5 17.5 18.6 18.7 자본총계 403.7 455.7 543.3 652.0 774.9

계속사업이익률 8.8 10.2 13.2 14.1 14.5 순금융부채 (59.8) (67.1) (175.3) (292.2) (388.3)

투자지표 현금흐름표 (단위: 십억원)

2013 2014 2015F 2016F 2017F 2013 2014 2015F 2016F 2017F

주당지표(원) 영업활동현금흐름 63.9 89.1 103.8 112.9 126.9

EPS 373 581 957 1,200 1,356 당기순이익 37.4 57.1 86.7 108.8 122.9

BPS 4,248 4,706 5,665 6,865 8,220 조정 34.6 50.9 20.3 14.0 8.9

CFPS 1,083 1,492 1,725 2,018 2,181 감가상각비 17.1 21.5 22.3 19.4 16.9

EBITDAPS 983 1,408 1,705 2,018 2,181 외환거래손익 0.2 (0.4) (0.1) 0.0 0.0

SPS 5,757 6,922 8,174 9,569 10,526 지분법손익 (1.5) 8.9 0.3 0.0 0.0

DPS 0 0 0 0 0 기타 18.8 20.9 (2.2) (5.4) (8.0)

주가지표(배) 자산/부채의 변동 (8.1) (18.9) (3.2) (9.9) (4.9)

PER 23.0 24.0 14.3 11.4 10.1 투자활동현금흐름 (45.0) (72.0) 3.8 (23.8) (5.9)

PBR 2.0 3.0 2.4 2.0 1.7 투자자산감소(증가) (37.1) (28.7) 7.8 (26.3) (13.0)

PCFR 7.9 9.3 7.9 6.8 6.3 유형자산감소(증가) (35.8) (30.5) (8.2) 0.0 0.0

EV/EBITDA 9.0 10.2 7.5 5.7 4.8 기타투자활동 27.9 (12.8) 4.2 2.5 7.1

PSR 1.5 2.0 1.7 1.4 1.3 재무활동현금흐름 7.3 (9.5) 1.8 (1.4) (19.8)

재무비율(%) 금융부채증가(감소) (20.0) (2.7) 1.0 0.1 (18.5)

ROE 9.3 13.8 19.5 20.0 18.6 자본증가(감소) 23.5 (0.0) 1.5 0.0 0.0

ROA 5.1 7.5 11.0 11.8 11.5 기타재무활동 3.8 (6.8) (0.7) (1.5) (1.3)

ROIC 16.0 25.6 31.5 40.7 46.6 배당지급 0.0 0.0 0.0 0.0 0.0

부채비율 46.2 44.6 35.2 34.1 28.3 현금의 증감 25.9 8.0 109.6 87.7 101.3

순부채비율 (14.8) (14.7) (32.3) (44.8) (50.1) Unlevered CFO 79.6 120.1 138.9 162.4 175.6

이자보상배율(배) 14.6 53.7 76.8 95.3 127.2 Free Cash Flow 12.2 58.4 95.1 112.9 126.9

자료: 하나대투증권

Page 29: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

29

2015년 7월 1일

테스(095610)

Equity Research

Top Pick

성장궤도

BUY

I TP(12M): 25,000원 I CP(6월30일): 17,900원

Key Data Consensus Data

KOSDAQ 지수(pt) 742.27 2015 2016

52주최고/최저(원) 22,400/13,150 매출액(십억원) 121.5 149.5

시가총액(십억원) 184.2 영업이익(십억원) 20.2 27.1

시가총액비중(%) 0.09 순이익(십억원) 20.8 26.5

발행주식수(천주) 10,288.3 EPS(원) 2,041 2,585

60일 평균거래량(천주) 301.3 BPS(원) 10,002 12,454

60일 평균거래대금(십억원) 5.8

15년 배당금(예상,원) 160 Stock Price

15년 배당수익률(예상,%) 0.78

50

70

90

110

130

150

12

14

16

18

20

22

24

14.6 14.9 14.12 15.3 15.6

(천원)테스(좌)

상대지수(우)

외국인 지분율(%) 15.48

주요주주 지분율(%)

주승일 외 11인 31.73

국민연금 10.95

주가상승률 1M 6M 12M

절대 (12.3) (1.4) 27.0

상대 (15.9) (27.9) (8.1)

Financial Data

투자지표 단위 2013 2014 2015F 2016F 2017F

매출액 십억원 67.1 109.7 127.8 143.0 164.4

영업이익 십억원 6.2 16.3 21.8 26.6 31.1

세전이익 십억원 4.0 15.6 22.0 26.8 33.3

순이익 십억원 5.0 14.7 19.9 21.0 28.5

EPS 원 519 1,491 1,932 2,040 2,772

증감율 % N/A 187.28 29.58 5.59 35.88

PER 배 23.70 12.17 9.32 8.82 6.49

PBR 배 1.81 2.22 1.86 1.55 1.27

EV/EBITDA 배 11.21 7.31 5.63 4.39 2.32

ROE % 7.76 19.89 21.62 19.17 21.51

BPS 원 6,795 8,171 9,700 11,580 14,192

DPS 원 80 160 160 160 160

Analyst 이원식

02-3771-7721

[email protected]

Analyst 남대종

02-3771-7775

[email protected]

하반기 수주 본격화

삼성전자는 15년 하반기부터 48단 3D NAND 양산을 본격화

할 것으로 예상되며, SK하이닉스 또한 16년 상반기부터 3D

NAND 투자를 계획하고 있는 것으로 파악된다. 향후 3D

NAND는 48단 → 64단 등으로 높아질 것으로 예상되기 때문

에 PECVD 장비 수요는 더욱 확대될 전망이다.

3분기부터 수주 확대가 예상됨에 따라 테스의 실적 또한 하반

기부터 본격적으로 개선될 전망이다. 하반기 실적은 매출액

771억원(+70%HoH), 영업이익 149억원(+116%HoH)를 기록

할 것으로 예상한다.

2분기 실적이 저점

15년 테스의 연간 실적은 매출액 1,278억원(+17%YoY), 영업

이익 218억원(+33%YoY)로 실적 개선세는 지속될 전망이다.

15년 예상 매출 기준 PECVD 비중은 84%로 단일 장비에 대

한 의존도가 높으나 16년부터는 고객사의 신규 라인 증설 효

과에 따라 Gas Etching 장비에 공급 또한 확대됨에 따라 제품

다변화가 가능할 전망이다. 참고로, Gas Etching 장비는 신규

고객 확보를 추진하고 있어, 제품 인증 완료 시 또 다른 성장

모멘텀으로 작용할 전망이다.

15년 2분기 실적은 매출액 246억원(-8%QoQ), 영업이익 32

억원(-14%QoQ)로 분기 실적 저점을 형성할 전망이다.

투자의견 BUY, 목표주가 25,000원 유지

①메모리 업체들의 3D NAND 투자에 대한 방향성은 변함이

없으며, ②3분기부터 수주 모멘텀이 확대될 것이고, ③현 주가

는 15년 예상 실적 기준 PER 9.3배로 국내 장비 업체들 평균

PER 11.5배 대비 여전히 저평가 되어 있기 때문에 현 시점에

서는 BUY & Holding 전략을 권고한다.

Page 30: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

30

표 1. 실적 추이 및 전망 (단위: 십억원)

1Q15 2Q15F 3Q15F 4Q15F 1Q16F 2Q16F 3Q16F 4Q16F 2015F 2016F

매출액 PECVD 24.7 19.2 33.5 29.8 17.2 19.6 27.4 30.2 107.1 94.4

Gas Etcher 0.0 2.7 1.5 2.2 7.8 7.4 10.9 11.4 6.4 37.5

LPCVD 0.0 0.0 2.1 1.6 0.0 0.0 0.0 0.0 3.6 0.0

기타 2.2 2.7 2.9 2.8 2.7 2.8 2.8 2.8 10.7 11.0

Total 26.9 24.6 40.0 36.4 27.7 29.7 41.1 44.4 127.8 143.0

QoQ 15% -8% 62% -9% -24% 8% 38% 8% 17% 12%

영업이익 3.7 3.2 8.0 6.9 5.1 5.2 7.7 8.6 21.8 26.6

QoQ 85% -14% 150% -13% -26% 1% 49% 12% 33% 33%

영업이익률 14% 13% 20% 19% 19% 17% 19% 19% 17% 19%

순이익 4.0 3.4 7.0 8.9 6.0 5.1 7.0 7.1 23.4 25.1

QoQ 1017% -15% 106% 26% -32% -15% 37% 2% 60% 60%

순이익률 15% 14% 18% 24% 22% 17% 17% 16% 18% 18%

자료: 하나대투증권

그림 1. 매출액과 영업이익률 추이 및 전망 그림 2. 제품별 매출 비중 추이 및 전망

0%

5%

10%

15%

20%

25%

0.0

10.0

20.0

30.0

40.0

50.0

1Q15 2Q15F 3Q15F 4Q15F 1Q16F 2Q16F 3Q16F 4Q16F

매출액(좌) OPM(우)(십억원)

40%

21%

45%

68%

84%

66%

44%

63%25%

10%

5%26%

0%

20%

40%

60%

80%

100%

11 12 13 14 15F 16F

PECVD Dry Etcher LPCVD 기타

자료:, 하나대투증권 자료: 하나대투증권

Page 31: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

31

추정 재무제표

손익계산서 (단위: 십억원) 대차대조표 (단위: 십억원)

2013 2014 2015F 2016F 2017F 2013 2014 2015F 2016F 2017F

매출액 67.1 109.7 127.8 143.0 164.4 유동자산 50.7 70.5 92.5 105.9 158.1

매출원가 52.5 82.6 94.9 105.4 121.2 금융자산 20.5 45.2 53.1 60.2 105.5

매출총이익 14.6 27.1 32.9 37.6 43.2 현금성자산 14.0 13.4 19.6 27.1 67.5

판관비 8.3 10.7 11.1 11.0 12.2 매출채권 등 12.7 11.2 18.2 19.8 22.7

영업이익 6.2 16.3 21.8 26.6 31.1 재고자산 16.5 13.8 20.8 25.4 29.2

금융손익 0.2 2.3 2.5 1.0 2.2 기타유동자산 1.0 0.3 0.4 0.5 0.7

종속/관계기업손익 (0.8) (0.6) (0.3) (0.4) 0.0 비유동자산 40.9 40.1 44.6 53.5 50.5

기타영업외손익 (1.7) (2.4) (2.0) (0.5) 0.0 투자자산 7.2 5.3 5.6 5.8 6.7

세전이익 4.0 15.6 22.0 26.8 33.3 금융자산 6.4 4.7 4.7 4.7 5.4

법인세 (1.0) 0.9 1.4 4.8 3.4 유형자산 20.3 21.1 22.0 22.7 20.7

계속사업이익 5.0 14.7 20.6 22.0 29.9 무형자산 9.5 8.6 11.6 19.6 17.8

중단사업이익 0.0 0.0 0.0 0.0 0.0 기타비유동자산 3.9 5.1 5.4 5.4 5.3

당기순이익 5.0 14.7 20.6 22.0 29.9 자산총계 91.6 110.6 137.0 159.3 208.6

포괄이익 0.0 (0.1) 0.7 1.0 1.3 유동부채 12.5 24.6 34.3 36.6 29.2

(지분법제외)순이익 5.0 14.7 19.9 21.0 28.5 금융부채 0.0 9.5 11.0 11.0 0.0

지배주주표괄이익 5.6 14.1 20.2 22.1 30.1 매입채무 등 11.2 13.0 18.2 19.8 22.7

NOPAT 7.7 15.3 20.4 21.8 27.9 기타유동부채 1.3 2.1 5.1 5.8 6.5

EBITDA 9.9 20.6 25.4 30.9 34.9 비유동부채 14.9 2.0 2.1 1.8 2.3

성장성(%) 금융부채 13.3 0.0 (1.0) (2.0) (2.0)

매출액증가율 N/A 63.5 16.5 11.9 15.0 기타비유동부채 1.6 2.0 3.1 3.8 4.3

NOPAT증가율 N/A 98.7 33.3 6.9 28.0 부채총계 27.3 26.6 36.4 38.4 31.6

EBITDA증가율 N/A 108.1 23.3 21.7 12.9 지배주주지분 64.2 83.9 99.8 119.1 146.0

(조정)영업이익증가율 N/A 162.9 33.7 22.0 16.9 자본금 4.8 5.1 5.1 5.1 5.1

(지분법제외)순익증가율 N/A 194.0 35.4 5.5 35.7 자본잉여금 30.0 35.3 35.3 35.3 35.3

(지분법제외)EPS증가율 N/A 187.4 29.5 5.6 35.9 자본조정 (0.3) 0.3 0.2 0.2 0.2

수익성(%) 기타포괄이익누계 (0.1) 0.0 (0.1) (0.1) (0.1)

매출총이익률 21.8 24.7 25.7 26.3 26.3 이익잉여금 29.8 43.1 59.2 78.5 105.4

EBITDA이익률 14.8 18.8 19.9 21.6 21.2 비지배주주지분 0.0 0.1 0.8 1.8 3.2

(조정)영업이익률 9.2 14.9 17.1 18.6 18.9 자본총계 64.2 84.0 100.6 120.9 149.2

계속사업이익률 7.5 13.4 16.1 15.4 18.2 순금융부채 (7.1) (35.7) (43.1) (51.2) (107.5)

투자지표 현금흐름표 (단위: 십억원)

2013 2014 2015F 2016F 2017F 2013 2014 2015F 2016F 2017F

주당지표(원) 영업활동현금흐름 (7.1) 26.3 16.1 23.0 30.9

EPS 519 1,491 1,932 2,040 2,772 당기순이익 5.0 14.7 20.6 22.0 29.9

BPS 6,795 8,171 9,700 11,580 14,192 조정 7.7 8.2 3.1 4.3 3.7

CFPS 1,287 2,141 2,379 2,924 3,390 감가상각비 3.7 4.3 3.6 4.3 3.8

EBITDAPS 1,032 2,088 2,470 3,006 3,389 외환거래손익 (0.0) (0.0) (0.0) 0.0 0.0

SPS 6,985 11,104 12,436 13,897 15,982 지분법손익 0.8 0.6 0.0 0.0 0.0

DPS 80 160 160 160 160 기타 3.2 3.3 (0.5) 0.0 (0.1)

주가지표(배) 자산/부채의 변동 (19.8) 3.4 (7.6) (3.3) (2.7)

PER 23.7 12.2 9.3 8.8 6.5 투자활동현금흐름 (4.9) (29.9) (9.2) (12.8) (5.8)

PBR 1.8 2.2 1.9 1.6 1.3 투자자산감소(증가) (7.0) 1.8 (0.3) (0.2) (0.9)

PCFR 9.6 8.5 7.6 6.2 5.3 유형자산감소(증가) (1.1) (1.5) (3.1) (3.0) 0.0

EV/EBITDA 11.2 7.3 5.6 4.4 2.3 기타투자활동 3.2 (30.2) (5.8) (9.6) (4.9)

PSR 1.8 1.6 1.4 1.3 1.1 재무활동현금흐름 5.6 2.9 (0.7) (2.6) (12.6)

재무비율(%) 금융부채증가(감소) 13.4 (3.9) 0.5 (1.0) (11.0)

ROE 7.8 19.9 21.6 19.2 21.5 자본증가(감소) 34.8 5.7 0.0 0.0 0.0

ROA 5.4 14.6 16.0 14.2 15.5 기타재무활동 (42.6) 1.9 0.4 0.0 0.0

ROIC 15.7 33.5 41.6 35.2 40.5 배당지급 0.0 (0.8) (1.6) (1.6) (1.6)

부채비율 42.6 31.7 36.2 31.7 21.2 현금의 증감 (6.4) (0.7) 6.2 7.6 12.5

순부채비율 (11.0) (42.5) (42.8) (42.4) (72.1) Unlevered CFO 12.4 21.1 24.5 30.1 34.9

이자보상배율(배) 7.5 14.8 32.2 42.6 135.1 Free Cash Flow (8.2) 24.8 12.9 20.0 30.9

자료: 하나대투증권

Page 32: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

32

2015년 7월 1일

비아트론(141000)

Equity Research

Initiation

실적 개선 본격화

BUY

I TP(12M): 18,500원 I CP(6월30일): 15,450원

Key Data Consensus Data

KOSDAQ 지수(pt) 734.61 2015 2016

52주최고/최저(원) 16,800/7,490 매출액(십억원) 75.1 102.3

시가총액(십억원) 182.3 영업이익(십억원) 15.3 23.3

시가총액비중(%) 0.09 순이익(십억원) 14.4 21.5

발행주식수(천주) 10,854.0 EPS(원) 1,325 1,975

60일 평균거래량(천주) 530.2 BPS(원) 6,809 8,855

60일 평균거래대금(십억원) 7.3

15년 배당금(예상,원) 0 Stock Price

15년 배당수익률(예상,%) 0.00

60

80

100

120

140

160

7

9

11

13

15

17

19

14.6 14.9 14.12 15.3 15.6

(천원)비아트론(좌)

상대지수(우)

외국인 지분율(%) 2.16

주요주주 지분율(%)

김형준 외 5인 22.64

주가상승률 1M 6M 12M

절대 14.3 71.4 101.7

상대 11.0 25.3 47.3

Financial Data

투자지표 단위 2013 2014 2015F 2016F 2017F

매출액 십억원 32.7 32.8 72.0 108.5 126.1

영업이익 십억원 4.0 0.8 16.2 27.3 31.5

세전이익 십억원 4.1 2.0 17.1 28.9 33.6

순이익 십억원 3.9 2.0 13.3 22.6 26.2

EPS 원 374 184 1,231 2,079 2,416

증감율 % (64.31) (50.80) 569.02 68.89 16.21

PER 배 30.23 54.33 12.11 7.17 6.17

PBR 배 2.06 1.76 2.17 1.66 1.31

EV/EBITDA 배 22.03 30.33 2.89 1.46 0.12

ROE % 7.27 3.45 20.63 27.30 24.50

BPS 원 5,487 5,668 6,879 8,958 11,373

DPS 원 0 0 0 0 0

Analyst 이원식

02-3771-7721

[email protected]

Analyst 남대종

02-3771-7775

[email protected]

투자의견 BUY, 목표주가 18,500원 제시

비아트론은 LCD 및 OLED 디스플레이에 적용되는 열처리 장

비를 제조하는 업체로 주요 고객으로는 LG디스플레이, 삼성디

스플레이, AUO, BOE 등 다양한 고객군을 확보하고 있다.

최근 열처리 장비는 LTPS, Oxide, Flexible OLED 등으로 적

용 범위가 확대되고 있는 가운데 비아트론은 In-line 및

Batch 타입의 열처리 장비 공급이 모두 가능하다. 특히 In-

line 열처리 장비에 있어서는 절대적인 기술력을 보유하고 있

어 전방 업체들의 LTPS 투자에 따른 수혜가 예상되므로 투자

의견 BUY, 목표주가 18,500원으로 커버리지를 개시한다. 목

표주가 18,500원은 15년과 16년 평균 EPS 값의 디스플레이

장비 업체들의 평균 PER 11배를 적용한 값이다.

투자 Cycle은 16년까지 지속

비아트론은 15년 2분기부터 중국 패널 업체들인 Truly,

CSOT, 티안마 등을 비롯하여 국내 LG디스플레이 등과의 수

주 계약건 등을 공시했다. 1분기를 저점으로 실적은 2분기부터

개선될 것이고, 향후 중국 업체들을 중심으로 LTPS 관련 신규

투자가 본격화되면서 바이트론의 In-line 장비에 대한 수주

확대가 예상된다.

특히, 전방 업체들의 LTPS 관련 투자 Cycle은 15년 이후 16

년까지도 지속될 것이기 때문에 비아트론의 경쟁력은 한층 더

강화될 전망이다.

2015년 실적 개선의 첫 해에 불과

2015년 실적은 매출액 720억원(+115%YoY), 영업이익 162억

원(+1780%YoY)로 큰 폭의 실적 개선이 이루어질 전망이다.

LTPS 관련 투자 확대로 인한 In-line형 열처리 장비 공급 확

대가 이루어지면서 영업이익률의 개선 또한 예상된다.

2016년까지 전방 업체들의 투자 Cycle이 지속되면서 15년은

실적 개선의 첫 해에 불과할 것이며, 절대적인 기술력을 바탕

으로 16년에도 수주 모멘텀은 확대될 예정임으로 현 시점에서

는 매수 전략을 권고한다.

Page 33: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

33

표 1. 실적 추이 및 전망 (단위: 십억원)

1Q15 2Q15F 3Q15F 4Q15F 1Q16F 2Q16F 3Q16F 4Q16F 2015F 2016F

매출액 AM OLED 0.0 15.5 2.6 14.4 13.8 14.8 13.4 17.8 32.5 59.9

LTPS LCD 0.0 1.0 0.0 2.0 3.5 5.3 6.4 4.7 3.1 19.9

Oxide TFT 1.1 5.2 13.3 11.8 6.5 6.5 6.5 0.0 31.3 19.5

Flexible 0.0 2.1 0.0 2.0 2.0 4.0 2.0 0.0 4.1 8.0

기타 0.2 0.3 0.3 0.3 0.3 0.3 0.3 0.3 1.1 1.1

합계 1.3 24.1 16.1 30.6 26.2 30.9 28.6 22.8 72.1 108.5

QoQ 49% 1839% -33% 89% -14% 18% -7% -20% 120% 51%

영업이익 -2.6 6.3 3.4 9.2 6.7 7.9 7.0 5.7 16.2 27.3

QoQ 적지 흑전 -46% 171% -27% 17% -12% -18% 1851% 68%

영업이익률 26% 21% 30% 26% 26% 24% 25% 23% 25%

순이익 -1.9 5.1 2.9 7.4 5.4 6.4 5.7 4.7 13.5 22.2

QoQ 적지 흑전 -43% 154% -26% 17% -11% -17% 583% 65%

순이익률 -146% 21% 18% 24% 21% 21% 20% 21% 19% 20%

자료: 하나대투증권

그림 1. 매출액과 영업이익률 추이 및 전망 그림 2. 제품별 매출 비중 추이 및 전망

0%

5%

10%

15%

20%

25%

30%

35%

0.0

5.0

10.0

15.0

20.0

25.0

30.0

35.0

1Q15 2Q15F 3Q15F 4Q15F 1Q16F 2Q16F 3Q16F 4Q16F

매출액(좌) OPM(우)(십억원)

36%

14%

47%56%

18%

7%

6%

9%26%

62% 4%

17%

17% 16%

42%

17%

0%

20%

40%

60%

80%

100%

13 14 15F 16F

AM OLED Flexible LTPS LCD Oxide Others

자료:, 하나대투증권 자료: 하나대투증권

Page 34: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

34

추정 재무제표

손익계산서 (단위: 십억원) 대차대조표 (단위: 십억원)

2013 2014 2015F 2016F 2017F 2013 2014 2015F 2016F 2017F

매출액 32.7 32.8 72.0 108.5 126.1 유동자산 39.7 55.7 128.8 132.3 171.7

매출원가 20.4 24.2 47.0 70.9 82.6 금융자산 21.1 46.2 110.1 120.1 157.8

매출총이익 12.3 8.6 25.0 37.6 43.5 현금성자산 8.1 24.9 93.8 104.2 141.9

판관비 8.3 7.8 8.8 10.3 12.0 매출채권 등 17.3 8.9 14.1 8.5 9.8

영업이익 4.0 0.8 16.2 27.3 31.5 재고자산 0.1 0.1 3.9 2.9 3.5

금융손익 0.1 0.8 0.9 1.6 2.1 기타유동자산 1.2 0.5 0.7 0.8 0.6

종속/관계기업손익 0.0 0.0 0.0 0.0 0.0 비유동자산 45.5 24.6 75.8 60.9 68.2

기타영업외손익 0.1 0.3 0.0 0.0 0.0 투자자산 21.1 1.5 53.6 39.9 48.2

세전이익 4.1 2.0 17.1 28.9 33.6 금융자산 21.1 1.5 53.6 39.9 48.2

법인세 0.2 (0.0) 3.8 6.4 7.4 유형자산 20.0 19.6 18.9 18.2 17.6

계속사업이익 3.9 2.0 13.3 22.6 26.2 무형자산 3.2 2.2 1.3 0.7 0.4

중단사업이익 0.0 0.0 0.0 0.0 0.0 기타비유동자산 1.2 1.3 2.0 2.1 2.0

당기순이익 3.9 2.0 13.3 22.6 26.2 자산총계 85.2 80.3 204.6 193.1 239.9

포괄이익 0.0 0.0 0.0 0.0 0.0 유동부채 8.9 21.1 89.4 66.6 80.4

(지분법제외)순이익 3.9 2.0 13.3 22.6 26.2 금융부채 2.3 18.6 0.1 0.0 0.0

지배주주표괄이익 3.8 1.9 13.3 22.6 26.2 매입채무 등 5.8 1.5 56.2 41.8 50.5

NOPAT 3.8 0.8 12.7 21.3 24.6 기타유동부채 0.8 1.0 33.1 24.8 29.9

EBITDA 5.4 2.7 17.9 28.6 32.5 비유동부채 19.7 1.2 43.8 32.6 39.4

성장성(%) 금융부채 18.8 0.0 0.0 0.0 0.0

매출액증가율 (38.5) 0.3 119.5 50.7 16.2 기타비유동부채 0.9 1.2 43.8 32.6 39.4

NOPAT증가율 (59.6) (78.9) 1,487.5 67.7 15.5 부채총계 28.7 22.3 133.2 99.2 119.8

EBITDA증가율 (51.4) (50.0) 563.0 59.8 13.6 지배주주지분 56.5 58.0 71.4 93.9 120.1

(조정)영업이익증가율 (61.5) (80.0) 1,925.0 68.5 15.4 자본금 5.3 5.4 5.4 5.4 5.4

(지분법제외)순익증가율 (61.8) (48.7) 565.0 69.9 15.9 자본잉여금 24.6 26.2 26.2 26.2 26.2

(지분법제외)EPS증가율 (64.3) (50.8) 568.6 68.9 16.2 자본조정 (1.1) (3.1) (3.1) (3.1) (3.1)

수익성(%) 기타포괄이익누계 0.0 0.0 0.0 0.0 0.0

매출총이익률 37.6 26.2 34.7 34.7 34.5 이익잉여금 27.7 29.6 42.9 65.5 91.7

EBITDA이익률 16.5 8.2 24.9 26.4 25.8 비지배주주지분 0.0 0.0 0.0 0.0 0.0

(조정)영업이익률 12.2 2.4 22.5 25.2 25.0 자본총계 56.5 58.0 71.4 93.9 120.1

계속사업이익률 11.9 6.1 18.5 20.8 20.8 순금융부채 0.0 (27.5) (110.0) (120.1) (157.7)

투자지표 현금흐름표 (단위: 십억원)

2013 2014 2015F 2016F 2017F 2013 2014 2015F 2016F 2017F

주당지표(원) 영업활동현금흐름 26.4 9.6 135.5 (3.6) 45.9

EPS 374 184 1,231 2,079 2,416 당기순이익 3.9 2.0 13.3 22.6 26.2

BPS 5,487 5,668 6,879 8,958 11,373 조정 1.6 4.1 1.4 1.2 1.0

CFPS 661 458 1,690 2,632 2,993 감가상각비 1.4 1.8 1.7 1.2 1.0

EBITDAPS 517 248 1,650 2,632 2,993 외환거래손익 (0.0) (0.5) (0.0) 0.0 0.0

SPS 3,127 3,058 6,641 9,992 11,620 지분법손익 0.0 0.0 0.0 0.0 0.0

DPS 0 0 0 0 0 기타 0.2 2.8 (0.3) 0.0 0.0

주가지표(배) 자산/부채의 변동 20.9 3.5 120.8 (27.4) 18.7

PER 30.2 54.3 12.1 7.2 6.2 투자활동현금흐름 (40.3) 11.1 (47.9) 14.0 (8.3)

PBR 2.1 1.8 2.2 1.7 1.3 투자자산감소(증가) (20.8) 19.6 (52.2) 13.7 (8.3)

PCFR 17.1 21.8 8.8 5.7 5.0 유형자산감소(증가) (11.4) (0.3) (0.1) 0.0 0.0

EV/EBITDA 22.0 30.3 2.9 1.5 0.1 기타투자활동 (8.1) (8.2) 4.4 0.3 0.0

PSR 3.6 3.3 2.2 1.5 1.3 재무활동현금흐름 22.1 (4.0) (18.6) (0.0) 0.0

재무비율(%) 금융부채증가(감소) 20.9 (2.5) (18.6) (0.0) 0.0

ROE 7.3 3.4 20.6 27.3 24.5 자본증가(감소) 1.8 1.7 0.0 0.0 0.0

ROA 5.0 2.4 9.4 11.3 12.1 기타재무활동 (0.6) (3.2) 0.0 0.0 0.0

ROIC 8.8 2.5 198.9 (146.9) (162.8) 배당지급 0.0 0.0 0.0 0.0 0.0

부채비율 50.8 38.4 186.7 105.6 99.7 현금의 증감 8.1 16.7 69.0 10.4 37.6

순부채비율 0.0 (47.4) (154.2) (127.9) (131.3) Unlevered CFO 6.9 4.9 18.3 28.6 32.5

이자보상배율(배) 6.5 0.7 271.7 92,499.9 113,203.9 Free Cash Flow 15.0 9.2 135.4 (3.6) 45.9

자료: 하나대투증권

Page 35: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

35

2015년 7월 1일

에스에프에이(056190)

Equity Research

Initiation

기반을 다지는 한 해

Neutral I TP(12M): 53,000원 I CP(6월30일): 49,200원

Key Data Consensus Data

KOSDAQ 지수(pt) 742.27 2015 2016

52주최고/최저(원) 56,800/38,300 매출액(십억원) 528.3 635.5

시가총액(십억원) 883.4 영업이익(십억원) 61.9 84.0

시가총액비중(%) 0.44 순이익(십억원) 54.3 72.0

발행주식수(천주) 17,954.4 EPS(원) 3,070 4,108

60일 평균거래량(천주) 86.9 BPS(원) 29,684 32,949

60일 평균거래대금(십억원) 4.3

15년 배당금(예상,원) 652 Stock Price

15년 배당수익률(예상,%) 1.29

60

70

80

90

100

110

120

130

33

38

43

48

53

58

14.6 14.9 14.12 15.3 15.6

(천원)에스에프에이(좌)

상대지수(우)

외국인 지분율(%) 13.59

주요주주 지분율(%)

디와이에셋 외 4인 36.39

삼성디스플레이 10.15

주가상승률 1M 6M 12M

절대 4.3 (0.9) 28.5

상대 0.0 (27.5) (7.1)

Financial Data

투자지표 단위 2013 2014 2015F 2016F 2017F

매출액 십억원 478.5 415.1 517.0 587.0 593.2

영업이익 십억원 64.6 45.7 57.9 67.4 85.7

세전이익 십억원 79.9 52.4 67.3 79.1 99.3

순이익 십억원 63.1 41.3 51.1 60.1 75.5

EPS 원 3,514 2,301 2,849 3,349 4,204

증감율 % (11.53) (34.52) 23.82 17.55 25.53

PER 배 11.70 21.58 17.11 14.55 11.60

PBR 배 1.55 1.78 1.66 1.52 1.37

EV/EBITDA 배 5.02 9.61 7.19 5.65 3.84

ROE % 15.12 8.80 10.40 11.34 12.86

BPS 원 26,473 27,847 29,427 32,143 35,713

DPS 원 1,015 652 652 652 652

Analyst 이원식

02-3771-7721

[email protected]

Analyst 남대종

02-3771-7775

[email protected]

투자의견 Neutral, 목표주가 53,000원 제시

에스에프에이는 전공정 장비 업체로 주요 고객으로 삼성전자를

비롯하여 중국 패널업체들을 확보하고 있고, 15년부터 시작되

는 디스플레이 패널 업체들의 투자 Cycle 도래에 따른 수혜가

예상되나 엔저 영향에 따른 물류시스템 부문의 경쟁력 악화가

우려되고 있기 때문에 투자의견 Neutral, 목표주가 53,000원

을 제시한다.

목표주가 53,000원은 15년과 16년 예상 EPS 평균값의 지난

3년간의 평균 PER 17배를 적용한 값이다.

사업군 다변화의 시작

중국 디스플레이 패널업체들의 OLED 신규 라인 투자 영향으

로 인해 물류 시스템 및 OLED 공정 장비 수요 확대가 예상된

다. 15년 초 중국 Truly사로부터 4.5세대 Phase 1 수주를 받

았으며, 이를 토대로 향후 Truly의 4.5세대 Phase 2와 중국

BOE B7 OLED 6세대 OLED 투자 진행에 따라 수주 및 실적

확대가 예상된다.

또한, 디스플레이 관련 물류뿐만 아니라 일반 물류 자동화 장

비 수주 및 글래스 제품의 공급 확대가 본격화 되면서 제품군

다변화에 따른 실적 안정성이 더해질 전망이다.

실적 개선의 기반을 다지는 한 해

2015년 일반 물류 장비 부문의 성장과 중국 패널 업체들의 신

규 라인 투자에 따른 수주 확대 영향으로 실적은 매출액

5,170억원(+25%YoY), 영업이익 579억원(+27%YoY)로 안정

적인 성장세에 돌입할 전망이다.

현 주가는 15년 예상 실적 기준 PER 17배로 과거 평균 수준

이나 최근 엔저 영향에 따른 물류 사업 내 경쟁 심화 우려로

주가는 당분간 횡보할 것으로 예상된다.

Page 36: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

36

추정 재무제표

손익계산서 (단위: 십억원) 대차대조표 (단위: 십억원)

2013 2014 2015F 2016F 2017F 2013 2014 2015F 2016F 2017F

매출액 478.5 415.1 517.0 587.0 593.2 유동자산 449.4 481.9 525.8 571.2 642.6

매출원가 353.1 332.6 413.0 468.9 456.8 금융자산 371.0 368.8 407.1 463.5 534.5

매출총이익 125.4 82.5 104.0 118.1 136.4 현금성자산 102.8 342.5 379.4 438.4 509.3

판관비 60.8 36.8 46.1 50.7 50.8 매출채권 등 70.7 106.6 112.0 101.6 101.9

영업이익 64.6 45.7 57.9 67.4 85.7 재고자산 6.0 5.5 5.8 5.2 5.3

금융손익 8.6 7.8 10.2 11.7 13.6 기타유동자산 1.7 1.0 0.9 0.9 0.9

종속/관계기업손익 0.0 0.0 0.0 0.0 0.0 비유동자산 139.3 131.3 122.6 114.4 107.5

기타영업외손익 6.7 (1.1) (0.8) 0.0 0.0 투자자산 4.4 3.0 3.2 2.9 2.9

세전이익 79.9 52.4 67.3 79.1 99.3 금융자산 4.4 3.0 3.2 2.9 2.9

법인세 16.9 11.1 16.2 19.0 23.8 유형자산 110.9 103.5 95.3 87.3 80.4

계속사업이익 63.1 41.3 51.1 60.1 75.5 무형자산 19.4 22.3 21.7 21.7 21.7

중단사업이익 0.0 0.0 0.0 0.0 0.0 기타비유동자산 4.6 2.5 2.4 2.5 2.5

당기순이익 63.1 41.3 51.1 60.1 75.5 자산총계 588.7 613.2 648.4 685.6 750.0

포괄이익 0.0 0.0 0.0 0.0 0.0 유동부채 107.3 120.9 127.0 116.8 117.1

(지분법제외)순이익 63.1 41.3 51.1 60.1 75.5 금융부채 0.5 11.6 14.8 14.5 14.5

지배주주표괄이익 63.8 42.6 51.1 60.1 75.5 매입채무 등 94.2 96.0 100.7 91.4 91.7

NOPAT 51.0 36.1 44.0 51.2 65.1 기타유동부채 12.6 13.3 11.5 10.9 10.9

EBITDA 73.1 55.6 67.2 75.4 92.6 비유동부채 19.8 14.8 15.6 14.1 14.2

성장성(%) 금융부채 0.0 0.0 0.0 0.0 0.0

매출액증가율 (5.7) (13.2) 24.5 13.5 1.1 기타비유동부채 19.8 14.8 15.6 14.1 14.2

NOPAT증가율 (5.2) (29.2) 21.9 16.4 27.1 부채총계 127.1 135.7 142.6 131.0 131.3

EBITDA증가율 (7.1) (23.9) 20.9 12.2 22.8 지배주주지분 461.7 477.5 505.9 554.6 618.7

(조정)영업이익증가율 (7.7) (29.3) 26.7 16.4 27.2 자본금 9.0 9.0 9.0 9.0 9.0

(지분법제외)순익증가율 (11.5) (34.5) 23.7 17.6 25.6 자본잉여금 27.4 27.4 27.4 27.4 27.4

(지분법제외)EPS증가율 (11.5) (34.5) 23.8 17.6 25.5 자본조정 (13.6) (22.5) (22.5) (22.5) (22.5)

수익성(%) 기타포괄이익누계 (0.1) (0.1) (0.0) (0.0) (0.0)

매출총이익률 26.2 19.9 20.1 20.1 23.0 이익잉여금 439.0 463.6 492.0 540.8 604.9

EBITDA이익률 15.3 13.4 13.0 12.8 15.6 비지배주주지분 0.0 0.0 0.0 0.0 0.0

(조정)영업이익률 13.5 11.0 11.2 11.5 14.4 자본총계 461.7 477.5 505.9 554.6 618.7

계속사업이익률 13.2 9.9 9.9 10.2 12.7 순금융부채 (370.5) (357.2) (392.3) (449.1) (520.0)

투자지표 현금흐름표 (단위: 십억원)

2013 2014 2015F 2016F 2017F 2013 2014 2015F 2016F 2017F

주당지표(원) 영업활동현금흐름 74.3 20.3 48.4 67.8 82.4

EPS 3,514 2,301 2,849 3,349 4,204 당기순이익 63.1 41.3 51.1 60.1 75.5

BPS 26,473 27,847 29,427 32,143 35,713 조정 9.1 13.7 9.0 8.0 6.9

CFPS 4,732 3,123 3,889 4,198 5,156 감가상각비 8.6 9.8 9.2 8.0 6.9

EBITDAPS 4,074 3,095 3,741 4,198 5,156 외환거래손익 0.5 0.3 (0.0) 0.0 0.0

SPS 26,651 23,122 28,797 32,695 33,039 지분법손익 0.0 0.0 0.0 0.0 0.0

DPS 1,015 652 652 652 652 기타 0.0 3.6 (0.2) 0.0 0.0

주가지표(배) 자산/부채의 변동 2.1 (34.7) (11.7) (0.3) 0.0

PER 11.7 21.6 17.1 14.6 11.6 투자활동현금흐름 (38.4) 238.4 (2.4) 2.9 (0.1)

PBR 1.6 1.8 1.7 1.5 1.4 투자자산감소(증가) 2.6 1.4 (0.2) 0.3 (0.0)

PCFR 8.7 15.9 12.5 11.6 9.5 유형자산감소(증가) (3.9) (2.8) (0.3) 0.0 0.0

EV/EBITDA 5.0 9.6 7.2 5.7 3.8 기타투자활동 (37.1) 239.8 (1.9) 2.6 (0.1)

PSR 1.5 2.1 1.7 1.5 1.5 재무활동현금흐름 27.2 (18.9) (9.1) (11.7) (11.4)

재무비율(%) 금융부채증가(감소) 0.5 11.1 3.2 (0.3) 0.0

ROE 15.1 8.8 10.4 11.3 12.9 자본증가(감소) 7.2 0.0 0.0 0.0 0.0

ROA 10.8 6.9 8.1 9.0 10.5 기타재무활동 36.5 (12.1) (0.9) 0.0 0.0

ROIC 41.7 28.3 32.4 40.3 54.7 배당지급 (17.0) (17.9) (11.4) (11.4) (11.4)

부채비율 27.5 28.4 28.2 23.6 21.2 현금의 증감 62.9 239.6 37.0 59.0 70.9

순부채비율 (80.3) (74.8) (77.6) (81.0) (84.0) Unlevered CFO 85.0 56.1 69.8 75.4 92.6

이자보상배율(배) N/A 534.6 101.3 109.7 139.1 Free Cash Flow 68.2 17.4 48.1 67.8 82.4

자료: 하나대투증권

Page 37: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

37

2015년 7월 1일

AP시스템(054620)

Equity Research

Initiation

사업 다각화의 시작

Neutral I TP(12M): 10,000원 I CP(6월30일): 9,070원

Key Data Consensus Data

KOSDAQ 지수(pt) 742.27 2015 2016

52주최고/최저(원) 11,500/5,330 매출액(십억원) 277.6 327.8

시가총액(십억원) 212.3 영업이익(십억원) 23.8 31.0

시가총액비중(%) 0.10 순이익(십억원) 18.4 25.3

발행주식수(천주) 23,410.0 EPS(원) 845 1,171

60일 평균거래량(천주) 997.8 BPS(원) 5,584 6,826

60일 평균거래대금(십억원) 10.0

15년 배당금(예상,원) 0 Stock Price

15년 배당수익률(예상,%) 0.00

40

50

60

70

80

90

100

110

5

6

7

8

9

10

11

12

13

14.6 14.9 14.12 15.3 15.6

(천원)AP시스템(좌)

상대지수(우)

외국인 지분율(%) 3.61

주요주주 지분율(%)

정기로 외 2인 9.83

주가상승률 1M 6M 12M

절대 (12.4) 48.7 18.3

상대 (16.0) 8.8 (14.4)

Financial Data

투자지표 단위 2013 2014 2015F 2016F 2017F

매출액 십억원 254.1 175.4 240.7 323.1 331.1

영업이익 십억원 16.0 3.7 18.1 30.9 31.6

세전이익 십억원 18.3 1.0 16.1 29.6 31.0

순이익 십억원 15.1 1.3 14.0 27.0 28.3

EPS 원 674 55 599 1,155 1,209

증감율 % 23.67 (91.84) 989.09 92.82 4.68

PER 배 14.64 111.13 14.92 7.74 7.40

PBR 배 1.95 1.19 1.56 1.30 1.11

EV/EBITDA 배 11.69 18.55 9.59 6.08 5.47

ROE % 16.32 1.30 13.39 21.63 18.53

BPS 원 5,067 5,112 5,713 6,868 8,076

DPS 원 0 0 0 0 0

Analyst 이원식

02-3771-7721

[email protected]

Analyst 남대종

02-3771-7775

[email protected]

투자의견 Neutral, 목표주가 10,000원 제시

AP시스템은 삼성전자, 중국의 Truly 등 주요 디스플레이 패널

업체들을 주요 고객으로 확보하고 있고, LTPS AM OLED 공

정 내 핵심 레이저 장비를 공급하고 있다. 중국 업체들을 중심

으로 AM OLED 투자 확대로 향후 수주 확보가 예상되나 최근

가파른 엔저에 따른 일본 업체들의 경쟁력 강화 영향으로 수주

액이 예상치를 하회할 가능성이 존재함으로 투자의견

Neutral, 목표주가 10,000원을 제시한다.

목표주가 10,000원은 15년 예상 EPS와 16년 예상 EPS 평균

값에 디스플레이 장비 업체들의 평균 PER 11배를 적용한 값

이다. 현 주가는 15년 예상 실적 기준 PER 14.9배로 장비 업

체들의 평균 PER을 상회하고 있다.

중국 내 경쟁력은 확실

15년 하반기부터 BOE, CSOT 등을 중심으로 중국 및 대만 패

널 업체들의 본격적인 AM OLED 라인 증설 투자가 예상된다.

AP시스템의 ELA(Excimer Laser Annealing) 장비는 AM

OLED의 LTPS TFT 기판 제작 공정 중 핵심 장비로서 ①과거

삼성디스플레이로의 공급 경험에 따른 Reference 보유, ②경

쟁사 대비 약 2년 수준 앞선 뛰어난 기술력 확보, ③가격 경쟁

력 등의 강점을 앞세워 중국 시장 내 경쟁력을 확대하고 있다.

주요 고객사의 플렉시블 AM OLED 투자 확대에 따라

LLO(Lift Laser-Off) 장비의 수주 확대 또한 기대된다.

디스플레이가 받쳐주고 반도체는 덤

15년부터 AP시스템은 기존 디스플레이 장비 중심에서 반도체

장비까지 제품 다각화가 이루어질 전망이다. 14년 반도체 장비

의 매출 비중은 2% 수준에 불과했으나, 15년에는 고객사내

M/S 확대 및 신규 고객사 확보 등의 영향으로 매출 비중은

11%로 확대될 전망이다.

15년 연간 실적은 매출액 2,407억원(+37%YoY), 영업이익

181억원(+374%YoY, OPM 7.5%)으로 실적 개선이 본격화 될

전망이다.

Page 38: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

38

표 1. 실적 추이 및 전망 (단위: 십억원)

1Q15 2Q15F 3Q15F 4Q15F 1Q16F 2Q16F 3Q16F 4Q16F 2015F 2016F

매출액 LCD ODF System 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0

Parts 1.7 1.4 1.7 2.0 1.8 1.8 2.0 2.1 6.7 7.7

Total 1.7 1.4 1.7 2.0 1.8 1.8 2.0 2.1 6.7 7.7

OLED ELA 66.4 30.1 32.7 30.0 25.6 33.3 39.4 34.3 159.3 132.6

LLO 3.7 5.0 2.4 11.9 13.4 23.1 27.0 23.2 22.9 86.8

Encapsulation 3.7 4.3 5.1 4.5 6.2 10.6 14.2 12.2 17.6 43.3

Total 73.8 39.4 40.3 46.4 45.2 67.0 80.7 69.8 199.8 262.7

반도체 RTP 1.0 5.3 11.9 6.6 16.6 11.2 8.6 7.2 24.8 43.5

Other 0.1 0.0 0.1 0.1 0.1 0.1 0.1 0.1 0.2 0.3

Total 1.1 5.3 11.9 6.7 16.7 11.2 8.6 7.3 25.0 43.8

기타 Total 3.1 2.0 2.2 1.8 2.1 2.2 2.3 2.3 9.1 8.9

합계 79.7 48.1 56.1 56.8 65.8 82.3 93.6 81.5 240.7 323.1

QoQ 24% -40% 17% 1% 16% 25% 14% -13% 37% 34%

영업이익 5.3 1.8 5.3 4.9 5.8 7.3 9.3 8.1 17.4 30.5

QoQ 138% -66% 195% -7% 17% 27% 27% -13% 374% 76%

영업이익률 7% 4% 9% 9% 9% 9% 10% 10% 7% 9%

순이익 3.3 1.2 4.3 3.9 4.2 5.7 7.5 6.4 12.6 23.9

QoQ 416% -65% 268% -9% 9% 34% 32% -14% 1118% 89%

순이익률 4% 2% 8% 7% 6% 7% 8% 8% 5% 7%

자료: 하나대투증권

그림 1. 매출액과 영업이익률 추이 및 전망 그림 2. 사업군별 매출 비중 추이 및 전망

0%

2%

4%

6%

8%

10%

12%

0.0

20.0

40.0

60.0

80.0

100.0

1Q15 2Q15F 3Q15F 4Q15F 1Q16F 2Q16F 3Q16F 4Q16F

매출액(좌) OPM(우)(십억원)

94% 92%

84% 81%

4% 2%11% 14%

0%

20%

40%

60%

80%

100%

13 14 15F 16F

LCD OLED Semi Others

자료:, 하나대투증권 자료: 하나대투증권

Page 39: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

39

추정 재무제표

손익계산서 (단위: 십억원) 대차대조표 (단위: 십억원)

2013 2014 2015F 2016F 2017F 2013 2014 2015F 2016F 2017F

매출액 254.1 175.4 240.7 323.1 331.1 유동자산 89.2 110.0 148.2 191.9 231.0

매출원가 216.0 149.1 203.7 272.1 278.8 금융자산 35.2 20.9 70.4 80.4 101.0

매출총이익 38.1 26.3 37.0 51.0 52.3 현금성자산 32.9 18.3 68.8 78.2 98.4

판관비 22.0 22.6 18.9 20.1 20.7 매출채권 등 29.7 31.6 40.2 57.6 67.1

영업이익 16.0 3.7 18.1 30.9 31.6 재고자산 21.8 45.6 27.1 38.8 45.2

금융손익 0.3 (1.5) (1.3) (1.3) (0.6) 기타유동자산 2.5 11.9 10.5 15.1 17.7

종속/관계기업손익 0.4 (0.0) (0.8) 0.0 0.0 비유동자산 93.0 105.6 101.3 104.1 103.8

기타영업외손익 1.5 (1.2) 0.1 0.0 0.0 투자자산 11.4 20.7 18.3 26.3 30.6

세전이익 18.3 1.0 16.1 29.6 31.0 금융자산 3.8 4.8 4.3 6.1 7.1

법인세 3.0 (0.0) 2.3 3.0 3.1 유형자산 67.1 64.9 62.0 57.7 53.9

계속사업이익 15.2 1.0 13.8 26.7 27.9 무형자산 10.4 14.9 14.9 14.0 13.1

중단사업이익 0.0 0.0 0.0 0.0 0.0 기타비유동자산 4.1 5.1 6.1 6.1 6.2

당기순이익 15.2 1.0 13.8 26.7 27.9 자산총계 182.2 215.6 249.5 296.0 334.7

포괄이익 0.1 (0.2) (0.2) (0.4) (0.4) 유동부채 56.9 60.2 113.4 131.1 140.8

(지분법제외)순이익 15.1 1.3 14.0 27.0 28.3 금융부채 28.5 13.5 71.1 71.1 71.1

지배주주표괄이익 14.8 0.3 14.0 27.0 28.3 매입채무 등 22.0 37.3 33.0 47.4 55.2

NOPAT 13.4 3.7 15.6 27.8 28.5 기타유동부채 6.4 9.4 9.3 12.6 14.5

EBITDA 20.7 10.1 24.0 36.1 36.3 비유동부채 23.5 55.6 22.6 24.7 25.9

성장성(%) 금융부채 20.0 50.1 17.8 17.8 17.8

매출액증가율 16.8 (31.0) 37.2 34.2 2.5 기타비유동부채 3.5 5.5 4.8 6.9 8.1

NOPAT증가율 30.1 (72.4) 321.6 78.2 2.5 부채총계 80.5 115.8 136.0 155.8 166.7

EBITDA증가율 16.9 (51.2) 137.6 50.4 0.6 지배주주지분 99.6 98.0 111.5 138.5 166.8

(조정)영업이익증가율 22.1 (76.9) 389.2 70.7 2.3 자본금 11.6 11.7 11.7 11.7 11.7

(지분법제외)순익증가율 28.0 (91.4) 976.9 92.9 4.8 자본잉여금 68.8 70.2 70.2 70.2 70.2

(지분법제외)EPS증가율 23.7 (91.9) 991.3 92.8 4.6 자본조정 (20.2) (23.7) (24.2) (24.2) (24.2)

수익성(%) 기타포괄이익누계 (0.1) (0.0) (0.0) (0.0) (0.0)

매출총이익률 15.0 15.0 15.4 15.8 15.8 이익잉여금 39.6 39.8 53.8 80.9 109.2

EBITDA이익률 8.1 5.8 10.0 11.2 11.0 비지배주주지분 2.1 1.8 2.0 1.6 1.2

(조정)영업이익률 6.3 2.1 7.5 9.6 9.5 자본총계 101.7 99.8 113.5 140.1 168.0

계속사업이익률 6.0 0.6 5.7 8.3 8.4 순금융부채 13.3 42.7 18.4 8.4 (12.2)

투자지표 현금흐름표 (단위: 십억원)

2013 2014 2015F 2016F 2017F 2013 2014 2015F 2016F 2017F

주당지표(원) 영업활동현금흐름 1.3 (8.5) 26.2 18.0 25.0

EPS 674 55 599 1,155 1,209 당기순이익 15.2 1.0 13.8 26.7 27.9

BPS 5,067 5,112 5,713 6,868 8,076 조정 14.6 11.6 8.8 5.2 4.7

CFPS 1,396 556 1,091 1,541 1,550 감가상각비 4.6 6.4 5.8 5.2 4.6

EBITDAPS 922 432 1,023 1,541 1,550 외환거래손익 0.4 0.6 0.0 0.0 0.0

SPS 11,326 7,493 10,284 13,803 14,143 지분법손익 (1.3) 0.0 0.8 0.0 0.0

DPS 0 0 0 0 0 기타 10.9 4.6 2.2 0.0 0.1

주가지표(배) 자산/부채의 변동 (28.5) (21.1) 3.6 (13.9) (7.6)

PER 14.6 111.1 14.9 7.7 7.4 투자활동현금흐름 (15.6) (16.8) (0.4) (8.6) (4.7)

PBR 1.9 1.2 1.6 1.3 1.1 투자자산감소(증가) 2.9 (9.3) 3.2 (7.9) (4.3)

PCFR 7.1 11.0 8.2 5.8 5.8 유형자산감소(증가) (13.8) (1.9) (1.2) 0.0 0.0

EV/EBITDA 11.7 18.5 9.6 6.1 5.5 기타투자활동 (4.7) (5.6) (2.4) (0.7) (0.4)

PSR 0.9 0.8 0.9 0.6 0.6 재무활동현금흐름 5.4 10.8 24.6 0.0 0.0

재무비율(%) 금융부채증가(감소) 8.0 15.2 25.1 0.0 0.0

ROE 16.3 1.3 13.4 21.6 18.5 자본증가(감소) 11.5 1.5 0.0 0.0 0.0

ROA 7.8 0.6 6.0 9.9 9.0 기타재무활동 (14.1) (5.9) (0.5) 0.0 0.0

ROIC 14.7 3.2 12.8 22.6 21.8 배당지급 0.0 0.0 0.0 0.0 0.0

부채비율 79.1 116.0 119.8 111.2 99.2 현금의 증감 (9.0) (14.6) 50.5 9.4 20.3

순부채비율 13.0 42.8 16.2 6.0 (7.3) Unlevered CFO 31.3 13.0 25.5 36.1 36.3

이자보상배율(배) 7.5 1.5 6.2 10.1 10.4 Free Cash Flow (12.5) (11.4) 25.0 18.0 25.0

자료: 하나대투증권

Page 40: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

40

2015년 7월 1일

유니테스트(086390)

Equity Research

관심종목

안정적인 성장 지속

Not Rated

CP(6월22일): 17,500원

Financial Data

투자지표 단위 2010 2011 2012 2013 2014

매출액 십억원 29.9 51.0 43.4 16.3 59.4

영업이익 십억원 5.8 7.5 4.2 (6.5) 8.1

세전이익 십억원 3.7 5.9 1.8 (7.1) 6.3

순이익 십억원 4.7 6.8 2.2 (7.4) 6.4

EPS 원 335 431 136 (467) 372

증감율 % (184.17) 28.66 (68.45) (443.38) (179.66)

PER 배 5.77 4.45 20.00 N/A 25.81

PBR 배 1.06 0.89 1.21 1.04 4.46

EV/EBITDA 배 6.31 5.27 8.16 N/A 16.05

ROE % 20.94 22.93 6.54 (25.28) 20.55

BPS 원 1,826 2,150 2,232 1,773 2,153

DPS 원 0 0 0 0 0

Analyst 이원식

02-3771-7721

[email protected]

Analyst 남대종

02-3771-7775

[email protected]

제품 다변화의 시작

유니테스트는 후공정 검사장비 공급 업체로 주요 장비로는 고

속 Burn-in 장비와 DDR4 스피드 테스터 등을 보유하고 있

다. 고속 Burn-in 장비는 2014년부터 주요 대만 고객사로부

터의 수주가 발생하고 있으며, 2015년부터는 국내 주요 고객

사향으로 수주가 본격적으로 확대될 것으로 예상된다. DDR4

스피드 테스터 장비는 반도체 메모리 산업이 기존 DDR3 중심

에서 DDR4로의 전환이 올해부터 가시화되면서 수혜폭이 확대

될 전망이다.

높아진 고객 및 제품 다변화의 가시성

유니테스트의 주가는 연초 이후 73% 상승했다. 이는, 국내 주

요 장비업체들의 평균 상승률 2%를 큰 폭으로 상회하는 수준

이다. 평균 상승률을 상회하는 원인은 후공정 장비 업체들의

실적 개선이 눈에 띄게 개선되고 있는 점을 반증한다라고 판단

한다. 반도체 메모리 산업이 기존 DDR3에서 DDR4로의 전환

이 16년까지도 지속될 것으로 예상되는 가운데 유니테스트는

후공정 장비 업체들 중 가장 큰 수혜를 볼 수 있을 것으로 판

단한다.

실적 턴어라운드의 주목

컨센서스 기준 15년 연간 실적은 매출액 1,358억원

(+129%YoY), 영업이익402억원(396%YoY)으로 대폭 개선된

실적을 달성할 전망이다.

현 주가 15년 예상 실적 기준 PER 8.9배로 국내 반도체 업체

들의 평균 PER 11.5배를 하회하고 있고, 15년 전방 업체들의

투자 확대에 따른 제품 및 고객 다변화가 이루어질 전망이며,

수주 모멘텀은 16년까지 이어질 것으로 예상되기 때문에 현

시점에서는 긍정적인 시각이 필요한 것으로 판단한다.

Page 41: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

41

추정 재무제표

손익계산서 (단위: 십억원) 대차대조표 (단위: 십억원)

2010 2011 2012 2013 2014 2010 2011 2012 2013 2014

매출액 29.9 51.0 43.4 16.3 59.4 유동자산 31.6 39.6 30.9 20.4 40.8

매출원가 14.6 32.0 26.9 11.9 36.0 금융자산 3.6 5.2 9.6 5.0 3.8

매출총이익 15.3 19.0 16.5 4.4 23.4 현금성자산 3.2 4.5 9.2 4.6 3.1

판관비 9.4 11.6 12.3 10.9 15.3 매출채권 등 11.4 20.0 11.3 4.1 14.3

영업이익 5.8 7.5 4.2 (6.5) 8.1 재고자산 14.9 12.8 9.7 10.2 18.3

금융손익 (2.9) (2.5) (2.0) (0.9) (1.0) 기타유동자산 1.7 1.6 0.3 1.1 4.4

종속/관계기업손익 1.5 0.0 0.0 0.0 0.0 비유동자산 34.1 32.3 33.1 35.8 36.0

기타영업외손익 (0.7) 0.9 (0.5) 0.4 (0.8) 투자자산 3.0 2.3 2.7 4.4 4.9

세전이익 3.7 5.9 1.8 (7.1) 6.3 금융자산 0.3 1.3 1.5 1.9 2.2

법인세 (1.1) (0.9) (0.4) 0.3 (0.1) 유형자산 26.0 24.7 23.9 22.7 21.5

계속사업이익 4.7 6.8 2.2 (7.4) 6.4 무형자산 3.2 2.0 2.9 5.6 6.4

중단사업이익 0.0 0.0 0.0 0.0 0.0 기타비유동자산 1.9 3.3 3.6 3.1 3.2

당기순이익 4.7 6.8 2.2 (7.4) 6.4 자산총계 65.6 71.9 64.0 56.3 76.8

포괄이익 0.0 0.0 0.0 0.0 0.0 유동부채 36.1 20.6 24.3 25.6 33.8

(지분법제외)순이익 4.7 6.8 2.2 (7.4) 6.4 금융부채 27.8 12.1 18.8 19.3 16.6

지배주주표괄이익 0.0 5.8 2.2 (7.3) 6.2 매입채무 등 8.3 8.2 4.6 4.7 15.3

NOPAT 7.5 8.7 5.2 (6.8) 8.2 기타유동부채 0.0 0.3 0.9 1.6 1.9

EBITDA 8.7 10.3 7.0 (4.6) 12.0 비유동부채 2.2 18.8 6.4 5.4 5.9

성장성(%) 금융부채 0.0 16.9 4.8 3.8 2.8

매출액증가율 14.6 70.6 (14.9) (62.4) 264.4 기타비유동부채 2.2 1.9 1.6 1.6 3.1

NOPAT증가율 200.0 16.0 (40.2) (230.8) (220.6) 부채총계 38.3 39.4 30.6 31.0 39.7

EBITDA증가율 50.0 18.4 (32.0) (165.7) (360.9) 지배주주지분 27.3 32.4 33.3 25.3 37.1

(조정)영업이익증가율 132.0 29.3 (44.0) (254.8) (224.6) 자본금 7.9 7.9 7.9 7.9 9.3

(지분법제외)순익증가율 (190.4) 44.7 (67.6) (436.4) (186.5) 자본잉여금 19.5 19.7 19.8 19.8 24.0

(지분법제외)EPS증가율 N/A 28.9 (68.6) N/A N/A 자본조정 (1.7) (1.7) (2.1) (2.9) (2.7)

수익성(%) 기타포괄이익누계 0.3 (0.0) (0.0) (0.0) (0.0)

매출총이익률 51.2 37.3 38.0 27.0 39.4 이익잉여금 1.2 6.4 7.7 0.4 6.6

EBITDA이익률 29.1 20.2 16.1 (28.2) 20.2 비지배주주지분 0.0 0.0 0.0 0.0 0.0

(조정)영업이익률 19.4 14.7 9.7 (39.9) 13.6 자본총계 27.3 32.4 33.3 25.3 37.1

계속사업이익률 15.7 13.3 5.1 (45.4) 10.8 순금융부채 24.3 23.8 14.0 18.0 15.6

투자지표 현금흐름표 (단위: 십억원)

2010 2011 2012 2013 2014 2010 2011 2012 2013 2014

주당지표(원) 335 431 136 (467) 372 영업활동현금흐름 (2.7) (0.3) 12.8 1.3 3.7

EPS 1,826 2,150 2,232 1,773 2,153 당기순이익 4.7 6.8 2.2 (7.4) 6.4

BPS 502 698 506 (221) 827 조정 2.4 0.9 4.0 3.6 7.2

CFPS 618 649 440 (291) 698 감가상각비 2.9 2.8 2.8 1.9 4.0

EBITDAPS 2,118 3,214 2,734 1,026 3,441 외환거래손익 0.0 (0.2) 0.9 0.1 (0.0)

SPS 0 60 0 0 80 지분법손익 (1.5) 0.1 0.0 0.0 0.2

DPS 기타 1.0 (1.8) 0.3 1.6 3.0

주가지표(배) 5.8 4.5 20.0 N/A 25.8 자산/부채의 변동 (9.8) (8.0) 6.6 5.1 (9.9)

PER 1.1 0.9 1.2 1.0 4.5 투자활동현금흐름 (0.9) 2.8 (0.3) (4.0) (4.7)

PBR 3.8 2.8 5.4 -8.3 11.6 투자자산감소(증가) (0.2) 0.7 (0.4) (1.7) (0.5)

PCFR 6.3 5.3 8.2 N/A 16.0 유형자산감소(증가) (0.2) 4.0 1.7 (0.3) (0.3)

EV/EBITDA 0.9 0.6 1.0 1.8 2.8 기타투자활동 (0.5) (1.9) (1.6) (2.0) (3.9)

PSR 재무활동현금흐름 6.0 (1.2) (7.3) (1.8) (0.6)

재무비율(%) 20.9 22.9 6.5 (25.3) 20.6 금융부채증가(감소) 1.3 1.1 (5.4) (0.5) (3.7)

ROE 8.1 10.0 3.2 (12.3) 9.6 자본증가(감소) 4.8 0.2 0.1 0.0 5.5

ROA 16.2 16.9 10.8 (17.1) 19.2 기타재무활동 (0.1) (2.5) (1.1) (1.3) (2.4)

ROIC 140.5 121.5 92.0 122.5 107.0 배당지급 0.0 0.0 (0.9) 0.0 0.0

부채비율 88.9 73.3 42.0 71.3 42.1 현금의 증감 2.4 1.3 4.7 (4.6) (1.6)

순부채비율 2.0 3.2 2.1 (6.1) 8.5 Unlevered CFO 7.1 11.1 8.0 (3.5) 14.3

이자보상배율(배) 335 431 136 (467) 372 Free Cash Flow (2.9) (0.5) 11.9 1.0 3.2

자료: 하나대투증권

Page 42: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

42

2015년 7월 1일

테크윙(089030)

Equity Research

관심종목

성장성은 확실하다

Not Rated

CP(6월22일): 12,300원

Financial Data

투자지표 단위 2010 2011 2012 2013 2014

매출액 십억원 74.5 102.0 91.1 84.7 103.6

영업이익 십억원 11.1 16.9 11.9 9.1 12.9

세전이익 십억원 10.0 15.6 12.6 9.4 10.9

순이익 십억원 14.0 12.5 10.7 8.8 9.9

EPS 원 1,093 916 647 534 599

증감율 % 834.19 (16.19) (29.37) (17.47) 12.17

PER 배 0.00 8.30 9.40 13.12 13.30

PBR 배 0.00 2.32 1.60 1.64 1.66

EV/EBITDA 배 1.55 7.34 8.55 13.31 11.10

ROE % 89.10 31.30 19.26 14.39 13.95

BPS 원 2,124 3,271 3,793 4,271 4,803

DPS 원 0 0 0 0

Analyst 이원식

02-3771-7721

[email protected]

Analyst 남대종

02-3771-7775

[email protected]

메모리와 비메모리 사업군을 모두 확보

테크윙은 반도체 후공정에 속한 테스트 핸들러를 생산하는 장

비 업체이며 주요 고객으로는 마이크론, SK하이닉스, 샌디스

크, Amkor 등을 확보하고 있다. 기존 메모리향 테스트 핸들러

장비군에서 최근에는 기술력을 기반으로 비메모리향 테스트 핸

들러 시장 내 입지 또한 강화중에 있다. 2015년 기준 매출 비

중은 메모리향 90%, 비메모리향 10%를 기록할 전망이나

2016년에는 비메모리 시장 내 입지 강화를 통한 매출 다변화

가 가파르게 나타날 전망이다.

비메모리 시장 내 입지 강화는 의심하지 말자

테크윙의 비메모리향 테스트 핸들러는 경쟁사 장비 대비 ①열

제어 기술력이 뛰어나고, ②가격 경쟁력 확보 등으로 세트 업

체들로부터의 긍정적인 평가를 받고 있다. 전방 업체들의 투자

지연 영향으로 15년 비메모리향 매출은 연초 기대치를 하회할

것으로 예상되나 마이크론, 샌디스크 등 주요 메모리 고객사들

의 NAND 투자 확대에 따른 메모리향 매출 확대가 비메모리

향 매출 감소 영향을 상쇄시킬 전망이다.

또한, 고객사들로부터의 비메모리향 장비 테스트가 완료된 만

큼 장기적인 성장 동력은 확보한 것으로 판단한다. 비메모리향

핸들러는 기존 통신칩향에서 향후 센서칩향 핸들러 출시를 통

해 제품 다각화 또한 기대된다.

2015년 이후에도 실적은 고성장세 지속

2015년 실적은 매출액 1,520억원(+38%YoY), 영업이익 214

억원(+74%YoY)으로 큰 폭의 실적 개선을 이룰 전망이다. 현

주가는 15년 예상 실적 기준 PER 11.0배 수준으로 국내 반도

체 장비업체들의 평균 PER 12배를 소폭 하회하고 있으며, 비

메모리 시장 내 입지 강화를 통한 15년 이후 실적 또한 고성장

세를 지속할 것으로 예상되므로 현 시점에서는 긍정적인 시각

유지가 필요하다고 판단된다.

Page 43: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

43

추정 재무제표

손익계산서 (단위: 십억원) 대차대조표 (단위: 십억원)

2010 2011 2012 2013 2014 2010 2011 2012 2013 2014

매출액 74.5 102.0 91.1 84.7 103.6 유동자산 50.2 77.8 66.1 60.4 73.2

매출원가 53.6 71.6 62.7 59.2 72.7 금융자산 2.7 20.2 13.8 9.2 6.8

매출총이익 20.9 30.4 28.4 25.5 30.9 현금성자산 2.7 17.0 9.7 3.1 3.3

판관비 9.8 13.4 16.5 16.4 18.0 매출채권 등 22.6 32.4 30.8 22.3 31.8

영업이익 11.1 16.9 11.9 9.1 12.9 재고자산 21.2 20.2 20.3 27.7 33.3

금융손익 (0.6) (1.7) (1.9) (0.4) (2.5) 기타유동자산 3.7 5.0 1.2 1.2 1.3

종속/관계기업손익 0.0 0.0 0.0 0.0 0.0 비유동자산 24.9 40.8 53.4 80.6 95.2

기타영업외손익 (0.5) 0.4 2.6 0.7 0.4 투자자산 1.3 1.1 1.0 5.0 7.1

세전이익 10.0 15.6 12.6 9.4 10.9 금융자산 1.3 1.1 1.0 2.0 2.3

법인세 (4.0) 3.2 2.0 0.6 1.0 유형자산 17.4 32.9 43.7 65.2 58.9

계속사업이익 14.0 12.5 10.7 8.8 9.9 무형자산 4.6 5.3 8.2 10.0 9.4

중단사업이익 0.0 0.0 0.0 0.0 0.0 기타비유동자산 1.6 1.5 0.5 0.4 19.8

당기순이익 14.0 12.5 10.7 8.8 9.9 자산총계 75.1 118.6 119.5 141.0 168.4

포괄이익 0.0 0.0 0.0 0.0 0.0 유동부채 42.8 47.0 46.9 46.0 71.6

(지분법제외)순이익 14.0 12.5 10.7 8.8 9.9 금융부채 17.1 16.9 25.6 26.3 43.2

지배주주표괄이익 0.0 12.4 10.6 8.7 9.8 매입채무 등 22.1 25.5 19.3 18.2 24.9

NOPAT 15.5 13.5 10.1 8.5 11.7 기타유동부채 3.6 4.6 2.0 1.5 3.5

EBITDA 12.9 19.1 14.8 12.2 16.7 비유동부채 5.6 18.6 15.0 30.5 19.7

성장성(%) 금융부채 5.6 18.4 14.7 29.9 18.2

매출액증가율 256.5 36.9 (10.7) (7.0) 22.3 기타비유동부채 0.0 0.2 0.3 0.6 1.5

NOPAT증가율 7,650.0 (12.9) (25.2) (15.8) 37.6 부채총계 48.4 65.6 61.8 76.5 91.3

EBITDA증가율 658.8 48.1 (22.5) (17.6) 36.9 지배주주지분 26.7 53.0 57.7 64.5 77.1

(조정)영업이익증가율 3,600.0 52.3 (29.6) (23.5) 41.8 자본금 2.5 8.3 8.3 8.3 8.7

(지분법제외)순익증가율 833.3 (10.7) (14.4) (17.8) 12.5 자본잉여금 13.0 21.5 21.5 22.4 26.7

(지분법제외)EPS증가율 836.8 (16.2) (29.4) (17.5) 12.2 자본조정 (1.3) (0.9) (4.8) (5.9) (5.9)

수익성(%) 기타포괄이익누계 0.0 0.0 0.0 0.0 0.0

매출총이익률 28.1 29.8 31.2 30.1 29.8 이익잉여금 12.6 24.1 32.7 39.7 47.5

EBITDA이익률 17.3 18.7 16.2 14.4 16.1 비지배주주지분 0.0 0.0 0.0 0.0 0.0

(조정)영업이익률 14.9 16.6 13.1 10.7 12.5 자본총계 26.7 53.0 57.7 64.5 77.1

계속사업이익률 18.8 12.3 11.7 10.4 9.6 순금융부채 20.0 15.1 26.4 47.0 54.6

투자지표 현금흐름표 (단위: 십억원)

2010 2011 2012 2013 2014 2010 2011 2012 2013 2014

주당지표(원) 영업활동현금흐름 6.1 14.8 4.9 11.9 10.9

EPS 1,093 916 647 534 599 당기순이익 14.0 12.5 10.7 8.8 9.9

BPS 2,124 3,271 3,793 4,271 4,803 조정 4.4 8.2 3.3 4.0 7.4

CFPS 1,437 1,603 963 888 1,203 감가상각비 1.8 2.2 2.9 3.1 3.8

EBITDAPS 1,009 1,403 899 741 1,015 외환거래손익 (0.5) (0.1) 0.3 (0.3) (0.8)

SPS 5,825 7,486 5,528 5,139 6,285 지분법손익 0.0 0.0 0.0 0.0 0.0

DPS 0 120 110 120 200 기타 3.1 6.1 0.1 1.2 4.4

주가지표(배) 자산/부채의 변동 (12.3) (5.9) (9.1) (0.9) (6.4)

PER 0.0 8.3 9.4 13.1 13.3 투자활동현금흐름 (16.3) (26.2) (14.0) (33.1) (18.1)

PBR 0.0 2.3 1.6 1.6 1.7 투자자산감소(증가) 1.0 0.2 0.1 (4.0) (2.2)

PCFR 0.0 4.7 6.3 7.9 6.6 유형자산감소(증가) (13.1) (19.9) (11.2) (23.5) (10.0)

EV/EBITDA 1.5 7.3 8.5 13.3 11.1 기타투자활동 (4.2) (6.5) (2.9) (5.6) (5.9)

PSR 0.0 1.0 1.1 1.4 1.3 재무활동현금흐름 12.1 25.7 1.8 14.6 7.3

재무비율(%) 금융부채증가(감소) (0.2) 12.6 4.9 16.0 5.1

ROE 89.1 31.3 19.3 14.4 13.9 자본증가(감소) 8.1 14.4 0.0 0.9 4.7

ROA 23.8 12.9 9.0 6.7 6.4 기타재무활동 4.2 (1.3) (1.1) (0.6) (0.6)

ROIC 44.3 24.8 13.6 8.8 10.8 배당지급 0.0 0.0 (2.0) (1.7) (1.9)

부채비율 181.0 123.7 107.2 118.7 118.5 현금의 증감 1.9 14.3 (7.3) (6.6) 0.2

순부채비율 74.7 28.5 45.8 72.9 70.9 Unlevered CFO 18.4 21.8 15.9 14.6 19.8

이자보상배율(배) 8.2 13.4 7.4 6.2 5.6 Free Cash Flow (7.0) (5.1) (7.3) (11.7) 0.8

자료: 하나대투증권

Page 44: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

44

투자의견 변동 내역 및 목표주가 추이

원익IPS

날짜 투자의견 목표주가

15.7.1 BUY 18,000

14.5.12 BUY 10,000

14.3.26 BUY 10,000

테스

날짜 투자의견 목표주가

15.7.1 BUY 25,000

15.5.28 BUY 25,000

15.3.26 BUY 21,000

15.3.4 BUY 21,000

비아트론

날짜 투자의견 목표주가

15.7.1 BUY 18,500

Page 45: 반도체/디스플레이 장비 - imgstock.naver.comimgstock.naver.com/upload/research/industry/1435730415281.pdf · 반도체/디스플레이 장비 Analyst 이원식/남대종

반도체/디스플레이 장비 Analyst 이원식/남대종 02-3771-7721/7775 I 하나대투증권 리서치센터

45

에스에프에이

날짜 투자의견 목표주가

15.7.1 Neutral 53,000

AP시스템

날짜 투자의견 목표주가

15.7.1 Neutral 10,000

투자의견 분류 및 적용

투자의견의 유효기간은 추천일 이후 12개월을 기준으로 적용

기업의 분류

BUY(매수)_목표주가가 현주가 대비 15% 이상 상승 여력 Neutral(중립)_목표주가가 현주가 대비 -15%~15% 등락 Reduce(비중축소)_목표주가가 현주가 대비 15% 이상 하락 가능

산업의 분류

Overweight(비중확대)_업종지수가 현재지수 대비 15% 이상 상승 여력 Neutral(중립)_업종지수가 현재지수 대비 -15%~15% 등락 Underweight(비중축소)_업종지수가 현재지수 대비 -15%~15% 등락

투자등급 비율

투자등급 BUY(매수) Neutral(중립) Reduce(매도) 합계

금융투자상품의 비율 83.3% 16.7% 0.0% 100.0%

* 기준일: 2015년 3월 31일

Compliance Notice

본 자료를 작성한 애널리스트(이원식/남대종)는 자료의 작성과 관련하여 외부의 압력이나 부당한 간섭을 받지 않았으며, 본인의 의견을 정확하게 반영하여 신의성실 하게 작성하였습니다

본 자료는 기관투자가 등 제 3자에게 사전 제공한 사실이 없습니다. 당사는 2015년 7월 1일 현재 해당회사의 지분을 1%이상 보유 하고 있지 않습니다

본 자료를 작성한 애널리스트(이원식/남대종)는 2015년 7월 1일 현재 해당 회사의 유가증권을 보유하고 있지 않습니다.

본 조사자료는 고객의 투자에 정보를 제공할 목적으로 작성되었으며, 어떠한 경우에도 무 단 복제 및 배포 될 수 없습니다. 또한 본 자료에 수록된 내용은 당사가 신뢰할 만한 자료 및 정보

로 얻어진 것이나, 그 정확성이나 완전성을 보장할 수 없으므로 투자자 자신의 판단과 책임하에 최종결정을 하시기 바랍니다. 따라서 어떠한 경우에도 본 자료는 고객의 주식투자의 결과에 대한 법적 책임소재의 증빙자료로 사용될 수 없습니다.